1 / 196

Implémentation Physique de Circuits Intégrés Numériques ou Mixtes (Backend)

Implémentation Physique de Circuits Intégrés Numériques ou Mixtes (Backend). Emmanuel GRENADOS (emmanuel.grenados@nxp.com) December 15, 2009. Sommaire. Introduction : NXP et objet du cours. Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation.

avedis
Télécharger la présentation

Implémentation Physique de Circuits Intégrés Numériques ou Mixtes (Backend)

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Implémentation Physique de Circuits Intégrés Numériques ou Mixtes (Backend) Emmanuel GRENADOS (emmanuel.grenados@nxp.com) December 15, 2009

  2. Sommaire Introduction : NXP et objet du cours Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation Exemple concret d’implémentation Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  3. Introduction Introduction : NXP et objet du cours NXP Semiconducteur NXP Semiconducteur à Caen Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation But de la présentation Exemple concret d’implémentation Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  4. Introduction – NXP Semiconducteur Introduction : NXP et objet du cours NXP Semiconducteur NXP Semiconducteur à Caen Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation But de la présentation Exemple concret d’implémentation Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  5. NXP Semiconducteur • Créé en 2006 (précédemment une division de Philips) • Fait partie du Top-10 des fabricants de semi-conducteurs avec des revenus de 6.3 milliards de $ en 2007 • Domaines d’activités principaux : • Home (digital TV) • Automotive (car radios, keyless…) • Identification (RFID, NFC, epassports…) • MMS (ARM, power supply control, I2C…) • Solid State Lighting • Environ 37500 employés (6000 ingenieurs) • Une organisation globale : • 13 centres de production et assemblage, 26 centres de R&D repartis dans 12 pays, 4 ‘system labs’ et plus de 100 bureaux de vente • 50 ans d’expérience dans les semi-conducteurs Cours d'Implémentation Physique de C.I. Numériques -

  6. NXP SemiconducteurPourcentage de ventes par région en 2007 Cours d'Implémentation Physique de C.I. Numériques -

  7. NXP SemiconducteurTechnologies • Développement de procédés • Partenariat de développement: • Avec STM, et Freescale • Avec de “grands” fondeurs: SSMC, TSMC, … • Leader dans les process QUBIC pour les applications RF. • Méthodologies • Prototypage rapide : vérification des systèmes plus rapide • Standard Design : Afin de faciliter la réutilisation des IPs • Recherche et Innovation • Développement de systèmes et nouvelles solutions Cours d'Implémentation Physique de C.I. Numériques -

  8. Introduction – NXP à Caen Introduction : NXP et objet du cours NXP Semiconducteur NXP Semiconducteur à Caen Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation But de la présentation Exemple concret d’implémentation Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  9. NXP Semiconducteur à Caen • Environ 1000 personnes • 600 ingénieurs et cadres Cours d'Implémentation Physique de C.I. Numériques -

  10. NXP Semiconducteur à CaenLes Business Lines (BLs) • Le but est de proposer des solutions système aux clients et d’en assurer le développement-marketing et la mise en production. • Les compétences sont • Conception de circuits analogiques • Conception de systèmes numériques (développement des circuits, du logiciel embarqué et de l’application) • Marketing • Industrialisation et suivi de production • Les domaines d’activités sont • Tuners analogiques et numériques (cable-terrestre-Satellite) • Fonctions RF pour les applications mobiles (3GSM, CDMA, Dect, blue tooth) • Convertisseurs A/N • Accès conditionnel (smart card) • Fonctions numériques d’imagerie (JPEG) • Communication numérique • Décodeur-encodeur MPEG2 pour la TV numérique Cours d'Implémentation Physique de C.I. Numériques -

  11. Introduction – But de la Présentation Introduction : NXP et objet du cours NXP Semiconducteur NXP Semiconducteur à Caen Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation But de la présentation Exemple concret d’implémentation Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  12. Objectifs de la présentation • Cette présentation décrit la réalisation de la partie physique d’un circuit (aussi appelé Back end) en s’appuyant sur la revue des contraintes techniques et l’étude d’un cas réel. • Description de l’environnement technique nécessaire à la réalisation d’un circuit. • Description des différentes phases d’implémentation physique pour un circuit en technologie 0.09 microns Cours d'Implémentation Physique de C.I. Numériques -

  13. Constat : Une intégration toujours plus grande Conséquence : Les méthodes de conception, CoReUse Conséquence : S’affranchir des limites liées aux nouvelles technologies  Limites Physiques  Limites électriques  Contraintes mécaniques Technologies Mises en Oeuvre Introduction : Philips et objet du cours Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation Exemple concret d’implémentation Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  14. Intégration Toujours plus Grande… Introduction : Philips et objet du cours Constat : Une intégration toujours plus grande Conséquence : Les méthodes de conception, CoReUse Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation Conséquence : S’affranchir des limites liées aux nouvelles technologies  Limites Physiques  Limites électriques Exemple concret d’implémentation  Contraintes mécaniques Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  15. SSI MSI LSI VLSI ULSI 10 102 103 104 105 106 107portes/puce 1960 1970 1980 1990 2000 Intégration Toujours plus Grande…L’histoire • Un peu d’histoire sur la densité d’intégration • small scale integration => ultra large scale integration Cours d'Implémentation Physique de C.I. Numériques -

  16. Intégration Toujours plus Grande…Le futur (?) • Prévoir le futur en terme d’intégration • la loi de Moore: d ’après Gordon Moore, chaque puce est approximativement deux fois plus puissante que la précédente et son délai de développement varie entre 18 et 24 mois (1965 !) Cours d'Implémentation Physique de C.I. Numériques -

  17. Intégration Toujours plus Grande…Les technologies • Les différents types de technologie • Le MOS est prépondérant dans l’industrie du semiconducteur Cours d'Implémentation Physique de C.I. Numériques -

  18. Intégration Toujours plus Grande…Conséquences • Utiliser des méthodes de conception et d’implémentation appropriées pour faire face à la complexité des circuits (System On a Chip). • S’affranchir des nouvelles limites techniques qui se présentent pour chaque nouvelle technologie. Cours d'Implémentation Physique de C.I. Numériques -

  19. Méthodes de conception Introduction : Philips et objet du cours Constat : Une intégration toujours plus grande Conséquence : Les méthodes de conception, CoReUse Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation Conséquence : S’affranchir des limites liées aux nouvelles technologies  Limites Physiques  Limites électriques Exemple concret d’implémentation  Contraintes mécaniques Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  20. 2 Gates/cm Moore’s Law (59% CAGR) Log Scale Design Productivity (20-25% CAGR) Software Productivity (8-10% CAGR) 0.35µ 0.25µ 0.18µ 0.1µ 0.15µ 0.12µ Méthodes de ConceptionIntroduction • L’amélioration de la productivité comparée à la loi de Moore. • Le grand fossé : Il est de plus en plus complexe d’intégrer la complexité Cours d'Implémentation Physique de C.I. Numériques -

  21. Méthodes de ConceptionIntroduction Il y a 10 ans, L’introduction des techniques de synthèse était la solution Maintenant, il est reconnu dans l’industrie que la ré-utilisation (ReUse) de la propriété intellectuelle est indispensable pour s’en sortir. Cours d'Implémentation Physique de C.I. Numériques -

  22. Méthodes de ConceptionIntroduction – Intellectual Property Conception basée sur ‘Sea-of-IP’ : En dehors de NXP, le sujet est reconnu par de nombreuses compagnies : VSIA: Virtual Socket Interface Alliance : • “Alliance of companies that recognized that no means existed to design larger and larger ICs that met the growing demand for more complex electric systems while at the same time less time was available to develop more reliable components and systems” • VSIA is chartered to define, develop, authorize, test and promoteopen standards relating to: - data formats - test methodologies - interfaces • About 225 companies (25% European) Cours d'Implémentation Physique de C.I. Numériques -

  23. Block 1 spec. Block 2 spec. Chip integration (interconnect) CoReUse standards & constraints: - directory structure - views - naming conventions - bus/interface standards - clock strategy - ... Behavioural model Behavioural model Behavioural model RTL RTL RTL Netlist Netlist Netlist Décomposition d’un projet : Layout Integration : Packaging Layout Méthodes de ConceptionIntroduction – Intellectual Property Afin de s’assurer que les blocks s’intègre convenablement au niveau d’un circuit : Layout Packaging Integration Cours d'Implémentation Physique de C.I. Numériques -

  24. Méthodes de ConceptionIntroduction – Intellectual Property Le flot de conception d’un ‘IP’ est divisé entre : • Intégration: Consiste à intégrer le Core dans le système • Packaging: Comprend la conception du Core et sa livraison avec un format donné • Les IPs peuvent être définis à différents endroits (multi-site) • Les IPs peuvent être conçus à des moment différents Le projet de développement du Core et du circuit peuvent être séparés : Certains IPs peuvent être utilisés : • Comme variantes ou nouvelles versions dans un circuit • Dans d’autres circuits Cours d'Implémentation Physique de C.I. Numériques -

  25. Core qualifier Méthodes de ConceptionIntroduction - Integration Integrator/Packager Communication Core IP(x,y,z) SoC integrator Core packager IP provider Customise L’intégrateur de SOC : Définit les contraintes des Cores Commande les cores Les intègre dans le système Cours d'Implémentation Physique de C.I. Numériques -

  26. Méthodes de ConceptionIntroduction - CoReUse • Qu’est ce qu’un Core dans la terminologie CoReUse ? Définition d’un Core:C’est un bloc de propriété intellectuelle (Intellectual Property) Qui est packagé et qualifié selon les standards CoReUse Cours d'Implémentation Physique de C.I. Numériques -

  27. Méthodes de ConceptionIntroduction – CoReUse – les Cores • Soft RTL, Documentation, Synthesis et DfT scripts • Firm Netlist, DfT implementation timing (placement) constraints • Hard Layout, timing verified, LVS/DRC checked • Solid Silicium de Test (test chip, FPLD) Cours d'Implémentation Physique de C.I. Numériques -

  28. Soft Core Firm Core Core qualifier Hard Core Méthodes de ConceptionIntroduction – CoReUse – les Cores Integrator/Packager Communication Core IP(x,y,z) SoC integrator Core packager IP provider Customise Cours d'Implémentation Physique de C.I. Numériques -

  29. Méthodes de ConceptionIntroduction – CoReUse – Firm Core Firm core : Exemple un CPU livré avec une description de niveau porte Cours d'Implémentation Physique de C.I. Numériques -

  30. Méthodes de ConceptionIntroduction – CoReUse – Hard Core Hard core : Exemple une RAM et un oscillateur (analogique) Cours d'Implémentation Physique de C.I. Numériques -

  31. Méthodes de ConceptionIntroduction – CoReUse – Hard Core Librairie de base : Standard cell Librairie de base :Pad (In line ou Staggered) IO Cell Height Hauteur de rangée De Standard cells Bond Pad Height w/spacing In Line Pad Pitch Staggered Pad Pitch Cours d'Implémentation Physique de C.I. Numériques -

  32. Méthodes de ConceptionIntroduction – CoReUse – Intégration Pads E/S Hard core Analogique Soft core Graphique Firm core CPU Hard core Mémoires Cours d'Implémentation Physique de C.I. Numériques -

  33. Méthodes de ConceptionIntroduction – CoReUse en Backend • Les notions de ré-utilisation du travail existant en back-end se retrouvent à plusieurs niveaux • A travers les notions de librairies pouvant être vue comme des briques élémentaires de conception. • A travers les notions de blocs dur (hard core), mou (soft core) ou intermédiaire (firm core) pouvant être ré utilisés • A travers l’organisation de la base de données. Les outils et fichiers utilisés se retrouvent dans l’organisation des répertoires de travail. Cours d'Implémentation Physique de C.I. Numériques -

  34. Méthodes de ConceptionCoReUse – Backend – Librairies • Les librairies sont des collections d’éléments de base pouvant être physiquement implémentés. • Ces éléments doivent permettre d’assurer une implémentation efficace des fonctions en prenant en compte certains phénomènes physiques : • Phénomènes d’Antennes (accumulation de charges sur les grilles de transistors lors des phases d’implantation ionique). • Phénomènes de latch up. • Phénomènes d’ESD (surtout au niveau des Pads). • Electromigration • Chaque élément de librairie doit fournir aux outils les informations provenant d’une caractérisation. Ceci pour permettre • Les estimations de temps de propagation • Les estimations de consommation • Le placement et le routage (taille de cellule, blocages) • Les simulations • Les vérifications Cours d'Implémentation Physique de C.I. Numériques -

  35. Méthodes de ConceptionCoReUse – Backend – Librairies • Une librairie contient des éléments technologiques communs à toutes les cellules • Paramètres technologiques pour les extractions d’éléments parasites • Règles d’implémentation physique = Design Rule (distance entre metaux par exemple). • Des Tables de caractérisation des cellules en terme de temps de propagation, pentes, et consommation. • Des tables de pondération (derating) pour tenir compte des des conditions d’utilisation • Procédé : rapide – lent – typique • Températures de fonctionnement (0-125 sur le cristal en consumer) • Tensions de fonctionnement (1.1-1.3 Volt en 0.12 micron consumer) Cours d'Implémentation Physique de C.I. Numériques -

  36. Méthodes de ConceptionCoReUse – Backend – Librairies • Eléments de librairies Standard cell : Un exemple de contenu => 1500 cellules • Logiques : • Des portes logiques (NAND, NOR) • Des fonctions booléennes (combinaison de NAND, Nor) • Des bascules et des latchs (faible consommation, tolérantes au skew, ou de taille minimale) • Des fonctions arithmétiques • Des auto-maintiens pour bus 3 états • Des cellules delay, des buffers et inverseurs • Multiplexeurs, demultiplexeurs • ‘Switchs’ programmable par niveaux vias • Liées aux contraintes back end : • Cellules de remplissage (filler cell) • Cellule de découplage • Cellules de protection contre les effets d’antennes (à base de diodes). Cours d'Implémentation Physique de C.I. Numériques -

  37. Méthodes de ConceptionCoReUse – Backend – Organisation • Base de données et fichiers normalisés pour les blocks et librairies Cours d'Implémentation Physique de C.I. Numériques -

  38. Limites Technologiques Introduction : Philips et objet du cours Constat : Une intégration toujours plus grande Conséquence : Les méthodes de conception, CoReUse Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation Conséquence : S’affranchir des limites liées aux nouvelles technologies  Limites Physiques  Limites électriques Exemple concret d’implémentation  Contraintes mécaniques Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  39. Limites Technologiques • la loi de (Arthur) Rock: le coût des immobilisations nécessaires à la fabrication des semi-conducteurs double tous les quatre ans Cours d'Implémentation Physique de C.I. Numériques -

  40. Limites Technologiques • Devant cela : • A t’on le droit à l’erreur en conception ? • Il y a une demande en outils de conception de plus en plus précis pour mieux analyser et contourner les limites. Notamment sur les aspects physiques (objet du cours) et fonctionnels. Cours d'Implémentation Physique de C.I. Numériques -

  41. Limites « électriques » Limites Technologiques Limites « lithographiques et technologiques » Limites thermiques ou mécaniques Cours d'Implémentation Physique de C.I. Numériques -

  42. Limites Technologiques Cours d'Implémentation Physique de C.I. Numériques -

  43. Limites Technologiques • Limites physiques • Les étapes de fabrication d’un circuit et les règles de conception • Les limites lithographiques et l’OPC (optical proximity correction) • Les règles de conception pour la ‘fabricabilité’ (Design For Manufacturability) • Limites électriques • Éléments parasites, temps de propagation et couplage capacitif • L’EMC (electromagnetic compatibility) • Consommation, chute de tension, électromigration et courants de fuite • Divers : Phénomène d’antenne, SER, Electrons chauds • Les décharges électrostatique (ESD) • Le latch-up Cours d'Implémentation Physique de C.I. Numériques -

  44. Limites Physiques Introduction : Philips et objet du cours Constat : Une intégration toujours plus grande Conséquence : Les méthodes de conception, CoReUse Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation Conséquence : S’affranchir des limites liées aux nouvelles technologies  Limites Physiques  Limites électriques Exemple concret d’implémentation  Contraintes mécaniques Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  45. Limites Physiques – Fabrication Introduction : Philips et objet du cours Constat : Une intégration toujours plus grande Conséquence : Les méthodes de conception, CoReUse Les technologies mises en œuvre et les contraintes qui en découlent pour l’implémentation Conséquence : S’affranchir des limites liées aux nouvelles technologies  Limites Physiques Les étapes de fabrication et les règles de conception Exemple concret d’implémentation Techniques de correction optique Règles pour la ‘fabricabilité’ (Design For Manufacturability) Conclusion Cours d'Implémentation Physique de C.I. Numériques -

  46. Limites Physiques – FabricationZones d’Isolation Litho & Etch Oxydation (SiO2) Définition des zones d’isolation (entre parties actives) : Oxydation (Si3N4) P- Substrate Cours d'Implémentation Physique de C.I. Numériques -

  47. Limites Physiques – FabricationIsolation • Mise en place de l’isolation entre parties actives (STI = Shallow Trench Isolation) : • Croissance thermique d’un oxyde puis dépôt de nitride. • Dry Etching pour créer les puits. • Dépôt par plasma d’un oxyde épais dans les puits • planarisation par CMP (Chemical Mecanical Polishing) 300 à 500 nm P- Substrate Cours d'Implémentation Physique de C.I. Numériques -

  48. Limites Physiques – FabricationIsolation STI ( Shallow Trench Isolation) Top Corner Rounding Bottom Corner Rounding Cours d'Implémentation Physique de C.I. Numériques -

  49. Limites Physiques – FabricationN-Well et P-Well Implantation des zones Nwell et Pwell (par épitaxie par exemple). Oxyde fin (quelques nanomètres). N-well P-well P- Substrate Cours d'Implémentation Physique de C.I. Numériques -

  50. Limites Physiques – FabricationFinalisation des Transistors Définition et ‘etching’ du poly silicium pour les bases des transistors Transistor N: Implantation des sources et drains n+ Transistor P : Implantation des sources et drains p+ N-well P-well P-substrate Cours d'Implémentation Physique de C.I. Numériques -

More Related