1 / 22

DISCUSSION CSE 140L 3 rd November 2010

DISCUSSION CSE 140L 3 rd November 2010. Vikram Murali. Things we will cover. Finite State Machines -- Mealy and Moore models. -- State Encoding. -- Simple Problem. VHDL Basics -- The Structure -- What we need !. FSM – Very Briefly.

fionan
Télécharger la présentation

DISCUSSION CSE 140L 3 rd November 2010

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. DISCUSSION CSE 140L3rd November 2010 Vikram Murali

  2. Things we will cover Finite State Machines -- Mealy and Moore models. -- State Encoding. -- Simple Problem. VHDL Basics -- The Structure -- What we need !

  3. FSM – Very Briefly Mathematical abstraction used to design digital logic Behavior model composed of a finite number of states, transitions between those states, and actions, similar to a flow graph. Actually realising a problem statement or modelling it in digital logic. Logic Flows when certain conditions are met.

  4. Components States – Represent a state of the circuit (or the problem statement modelled). Characterised by the inputs that caused it to happen and the outputs it results in. Inputs – Decide the flow between states. Outputs.

  5. Example. Outputs 1 when sequence is 1011 ! Seq. Detector

  6. Mealy and Moore Models

  7. Another Mealy Machine

  8. Difference. Mealy model : Output depends on both the current state and the input. Moore : It depends only on the current state. Imagine : In a Moore machine, the output is associated with the state. In a mealy machine, the output is associated with the transitions. Typically, Moore has more states, but is easier to implement. Mealy machines have less states, and also is fasterin clocked systems (the output occurs right away when the inputs are detected). Moore has to wait the clock cycle to transition to the next state before the output is changed. => Mealy can give rise to race conditions. !!

  9. State Encoding States named as S0 , S1 … Sn are fine with us. But implementation in Digital Logic ? Each state must be encoded in 0s and 1s Each bit has a certain state transition logic -- We need to derive this to design our circuit that implements our model/requirement Eg : 8 states => 2^3 = 8 => 3 bits to encode. 111 DOWNTO 000

  10. A Quick Problem. Traffic Light Cntrler !

  11. Solution. State Diagram.

  12. State transition table

  13. Circuit after logic minimisation for A+, B+ and Z. A+ A B+ B

  14. VHDL. Major parts of a VHDL program -- Entity Declaration -- Architecture Body -- Package Declaration -- Package Body -- Configuration Synthesisable !

  15. “Look at the following program skeleton”

  16. Port name Data-type Mode

  17. Individual Syntaxes ENTITY

  18. Example ARCHITECTURE

  19. What we want – VHDL. States in VHDL -- Building FSMs -- State Transitions and Outputs

  20. THANK YOU !

More Related