html5-img
1 / 73

VHDL Refresher

VHDL Refresher. Lecture 2. R equired reading. P. Chu, FPGA Prototyping by VHDL Examples Chapter 1, Gate-level combinational circuit. S. Brown and Z. Vranesic , Fundamentals of Digital Logic with VHDL Design Chapter 2.10, Introduction to VHDL. Recommended reading.

abia
Télécharger la présentation

VHDL Refresher

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. VHDL Refresher Lecture 2 ECE 448 – FPGA and ASIC Design with VHDL

  2. Required reading • P. Chu, FPGA Prototyping by VHDL Examples • Chapter 1, Gate-level combinational circuit • S. Brown and Z. Vranesic,Fundamentals of Digital Logic with VHDL Design • Chapter 2.10, Introduction to VHDL ECE 448 – FPGA and ASIC Design with VHDL

  3. Recommended reading • Wikipedia – The Free On-line Encyclopedia • VHDL - http://en.wikipedia.org/wiki/VHDL • Verilog - http://en.wikipedia.org/wiki/Verilog ECE 448 – FPGA and ASIC Design with VHDL

  4. Recommended reading Required for Lab 1! Opportunity for bonus points during the next two lectures! • P. Chu, FPGA Prototyping by VHDL Examples • Chapter 3, RT-level combinational circuit • S. Brown and Z. Vranesic,Fundamentals of Digital Logic with VHDL Design • Chapter 6, Combinational-circuit building blocks ECE 448 – FPGA and ASIC Design with VHDL

  5. Brief History of VHDL ECE 448 – FPGA and ASIC Design with VHDL

  6. VHDL • VHDL is a language for describing digital hardware used by industry worldwide • VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language ECE 448 – FPGA and ASIC Design with VHDL

  7. Genesis of VHDL State of art circa 1980 • Multiple design entry methods and hardware description languages in use • No or limited portability of designs between CAD tools from different vendors • Objective: shortening the time from a design concept to implementation from 18 months to 6 months ECE 448 – FPGA and ASIC Design with VHDL

  8. A Brief History of VHDL • June 1981: Woods Hole Workshop • July 1983: contract awarded to develop VHDL • Intermetrics • IBM • Texas Instruments • August 1985: VHDL Version 7.2 released • December 1987: VHDL became IEEE Standard 1076-1987 and in 1988 an ANSI standard ECE 448 – FPGA and ASIC Design with VHDL

  9. Four versions of VHDL • Four versions of VHDL: • IEEE-1076 1987 • IEEE-1076 1993  most commonly supported by CAD tools • IEEE-1076 2000 (minor changes) • IEEE-1076 2002 (minor changes) ECE 448 – FPGA and ASIC Design with VHDL

  10. Verilog ECE 448 – FPGA and ASIC Design with VHDL

  11. Verilog • Essentially identical in function to VHDL • No generate statement • Simpler and syntactically different • C-like • Gateway Design Automation Co., 1985 • Gateway acquired by Cadence in 1990 • IEEE Standard 1364-1995 • Early de facto standard for ASIC programming • Programming language interface to allow connection to non-Verilog code ECE 448 – FPGA and ASIC Design with VHDL

  12. VHDL vs. Verilog ECE 448 – FPGA and ASIC Design with VHDL

  13. How to learn Verilog by yourself ? ECE 448 – FPGA and ASIC Design with VHDL

  14. Features of VHDL and Verilog • Technology/vendor independent • Portable • Reusable ECE 448 – FPGA and ASIC Design with VHDL

  15. VHDL Fundamentals ECE 448 – FPGA and ASIC Design with VHDL

  16. Naming and Labeling (1) • VHDL is case insensitive Example: Names or labels databus Databus DataBus DATABUS are all equivalent ECE 448 – FPGA and ASIC Design with VHDL

  17. Naming and Labeling (2) General rules of thumb (according to VHDL-87) • All names should start with an alphabet character (a-z or A-Z) • Use only alphabet characters (a-z or A-Z) digits (0-9) and underscore (_) • Do not use any punctuation or reserved characters within a name (!, ?, ., &, +, -, etc.) • Do not use two or more consecutive underscore characters (__) within a name (e.g., Sel__A is invalid) • All names and labels in a given entity and architecture must be unique ECE 448 – FPGA and ASIC Design with VHDL

  18. Valid or invalid? 7segment_display A87372477424 Adder/Subtractor /reset And_or_gate AND__OR__NOT Kogge-Stone-Adder Ripple&Carry_Adder My adder ECE 448 – FPGA and ASIC Design with VHDL

  19. Free Format • VHDL is a “free format” language No formatting conventions, such as spacing or indentation imposed by VHDL compilers. Space and carriage return treated the same way. Example: if (a=b) then or if (a=b) then or if (a = b) then are all equivalent ECE 448 – FPGA and ASIC Design with VHDL

  20. Readability standards & coding style Adopt readability standards based on one of the the two main textbooks: Chu or Brown/Vranesic Use coding style recommended in OpenCores Coding Guidelines linked from the course web page Strictly enforced by the lab instructors and myself. Penalty points may be enforced for not following these recommendations!!! ECE 448 – FPGA and ASIC Design with VHDL

  21. Comments • Comments in VHDL are indicated with a “double dash”, i.e., “--” • Comment indicator can be placed anywhere in the line • Any text that follows in the same line is treated as a comment • Carriage return terminates a comment • No method for commenting a block extending over a couple of lines Examples: -- main subcircuit Data_in <= Data_bus; -- reading data from the input FIFO ECE 448 – FPGA and ASIC Design with VHDL

  22. Comments • Explain Function of Module to Other Designers • Explanatory, Not Just Restatement of Code • Locate Close to Code Described • Put near executable code, not just in a header ECE 448 – FPGA and ASIC Design with VHDL

  23. Design Entity ECE 448 – FPGA and ASIC Design with VHDL

  24. Example: NAND Gate a z b ECE 448 – FPGA and ASIC Design with VHDL

  25. Example VHDL Code 3 sections to a piece of VHDL code File extension for a VHDL file is .vhd Name of the file should be the same as the entity name (nand_gate.vhd) [OpenCores Coding Guidelines] LIBRARY ieee; USEieee.std_logic_1164.all; ENTITYnand_gateIS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); ENDnand_gate; ARCHITECTUREmodelOFnand_gateIS BEGIN z <= aNANDb; ENDmodel; LIBRARY DECLARATION ENTITY DECLARATION ARCHITECTURE BODY ECE 448 – FPGA and ASIC Design with VHDL

  26. Design Entity design entity entity declaration architecture 1 architecture 2 architecture 3 Design Entity - most basic building block of a design. One entity can have many different architectures. ECE 448 – FPGA and ASIC Design with VHDL

  27. Entity Declaration Entity Declaration describes the interface of the component, i.e. input and output ports. ENTITYnand_gateIS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC ); ENDnand_gate; Entity name Port type Port names Semicolon No Semicolon after last port Reserved words Port modes (data flow directions) ECE 448 – FPGA and ASIC Design with VHDL

  28. Entity declaration – simplified syntax ENTITY entity_name IS PORT ( port_name : port_mode signal_type; port_name : port_mode signal_type; …………. port_name : port_mode signal_type); END entity_name; ECE 448 – FPGA and ASIC Design with VHDL

  29. Port Mode IN Port signal Entity a Driver resides outside the entity ECE 448 – FPGA and ASIC Design with VHDL

  30. Port Mode OUT Entity Port signal z Output cannot be read within the entity c Driver resides inside the entity c <= z ECE 448 – FPGA and ASIC Design with VHDL

  31. Port Mode OUT (with extra signal) Entity Port signal z x Signal xcan be read inside the entity c z <= x c <= x Driver resides inside the entity ECE 448 – FPGA and ASIC Design with VHDL

  32. Port Mode BUFFER Entity Port signal z c Port signal Z can be read inside the entity Driver resides inside the entity c <= z Not recommended by OpenCores Coding Guidelines. Port of mode buffer can not be connected to other types of ports so buffer mode will propagate throughout the entire hierarchical design. Problems reported with synthesis of designs using these ports. ECE 448 – FPGA and ASIC Design with VHDL

  33. Port Mode INOUT Entity Port signal a Signal can be read inside the entity Driver may reside both inside and outside of the entity ECE 448 – FPGA and ASIC Design with VHDL

  34. Port Modes - Summary The Port Mode of the interface describes the direction in which data travels with respect to the component • In: Data comes into this port and can only be read within the entity. It can appear only on the right side of a signal or variable assignment. • Out: The value of an output port can only be updated within the entity. It cannot be read. It can only appear on the left side of a signal assignment. • Inout: The value of a bi-directional port can be read and updated within the entity model. It can appear on both sides of a signal assignment. • Buffer: Used for a signal that is an output from an entity. The value of the signal can be used inside the entity, which means that in an assignment statement the signal can appear on the left and right sides of the <= operator. Not recommended to be used in the synthesizable code. ECE 448 – FPGA and ASIC Design with VHDL

  35. Architecture (Architecture body) • Describes an implementation of a design entity • Architecture example: ARCHITECTUREmodelOFnand_gateIS BEGIN z <= aNANDb; ENDmodel; ECE 448 – FPGA and ASIC Design with VHDL

  36. Architecture – simplified syntax ARCHITECTURE architecture_name OF entity_name IS [ declarations ] BEGIN code END architecture_name; ECE 448 – FPGA and ASIC Design with VHDL

  37. Entity Declaration & Architecture nand_gate.vhd LIBRARY ieee; USEieee.std_logic_1164.all; ENTITYnand_gateIS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); ENDnand_gate; ARCHITECTURE dataflow OFnand_gateIS BEGIN z <= aNANDb; END dataflow; ECE 448 – FPGA and ASIC Design with VHDL

  38. Tips & Hints Place each entity in a different file. The name of each file should be exactly the same as the name of an entity it contains. These rules are not enforced by all tools but are worth following in order to increase readability and portability of your designs ECE 448 – FPGA and ASIC Design with VHDL

  39. Tips & Hints Place the declaration of each port, signal, constant, and variable in a separate line These rules are not enforced by all tools but are worth following in order to increase readability and portability of your designs ECE 448 – FPGA and ASIC Design with VHDL

  40. Libraries ECE 448 – FPGA and ASIC Design with VHDL

  41. Library Declarations LIBRARY ieee; USEieee.std_logic_1164.all; ENTITYnand_gateIS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); ENDnand_gate; ARCHITECTUREmodelOFnand_gateIS BEGIN z <= aNANDb; ENDmodel; Library declaration Use all definitions from the package std_logic_1164 ECE 448 – FPGA and ASIC Design with VHDL

  42. Library declarations - syntax LIBRARY library_name; USE library_name.package_name.package_parts; ECE 448 – FPGA and ASIC Design with VHDL

  43. Fundamental parts of a library LIBRARY PACKAGE 1 PACKAGE 2 TYPES CONSTANTS FUNCTIONS PROCEDURES COMPONENTS TYPES CONSTANTS FUNCTIONS PROCEDURES COMPONENTS ECE 448 – FPGA and ASIC Design with VHDL

  44. Libraries • ieee • std • work Need to be explicitly declared Specifies multi-level logic system, including STD_LOGIC, and STD_LOGIC_VECTOR data types Specifies pre-defined data types (BIT, BOOLEAN, INTEGER, REAL, SIGNED, UNSIGNED, etc.), arithmetic operations, basic type conversion functions, basic text i/o functions, etc. Visible by default Holds current designs after compilation ECE 448 – FPGA and ASIC Design with VHDL

  45. STD_LOGIC Demystified ECE 448 – FPGA and ASIC Design with VHDL

  46. STD_LOGIC LIBRARY ieee; USEieee.std_logic_1164.all; ENTITYnand_gateIS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); ENDnand_gate; ARCHITECTURE dataflow OFnand_gateIS BEGIN z <= aNANDb; END dataflow; What is STD_LOGIC you ask? ECE 448 – FPGA and ASIC Design with VHDL

  47. BIT versus STD_LOGIC BIT type can only have a value of ‘0’ or ‘1’ STD_LOGIC can have eight values ‘0’,’1’,’X’,’Z’,’W’,’L’,’H’,’-’ Useful mainly for simulation ‘0’,’1’, and ‘Z’ are synthesizable (your codes should contain only these three values) ECE 448 – FPGA and ASIC Design with VHDL

  48. STD_LOGIC type demystified ECE 448 – FPGA and ASIC Design with VHDL

  49. More on STD_LOGICMeanings (1) ‘1’ ‘X’ Contention on the bus X ‘0’ ECE 448 – FPGA and ASIC Design with VHDL

  50. More on STD_LOGICMeanings (2) ECE 448 – FPGA and ASIC Design with VHDL

More Related