1 / 40

EGRE 426 Fall 09 Chapter Three

EGRE 426 Fall 09 Chapter Three. operation. a. ALU. 32. result. 32. b. 32. Arithmetic. What's up ahead: Implementing the Architecture. Numbers. Bits are just bits (no inherent meaning) — conventions define relationship between bits and numbers

ajaxe
Télécharger la présentation

EGRE 426 Fall 09 Chapter Three

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. EGRE 426Fall 09Chapter Three

  2. operation a ALU 32 result 32 b 32 Arithmetic • What's up ahead: • Implementing the Architecture

  3. Numbers • Bits are just bits (no inherent meaning) — conventions define relationship between bits and numbers • Binary numbers (base 2) 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001... decimal: 0...2n-1 • Of course it gets more complicated: numbers are finite (overflow) fractions and real numbers negative numbers e.g., no MIPS subi instruction; addi can add a negative number) • How do we represent negative numbers? i.e., which bit patterns will represent which numbers?

  4. Possible Representations • Sign Magnitude: One's Complement Two's Complement 000 = +0 000 = +0 000 = +0 001 = +1 001 = +1 001 = +1 010 = +2 010 = +2 010 = +2 011 = +3 011 = +3 011 = +3 100 = -0 100 = -3 100 = -4 101 = -1 101 = -2 101 = -3 110 = -2 110 = -1 110 = -2 111 = -3 111 = -0 111 = -1 • Issues: balance, number of zeros, ease of operations • Which one is best? Why?

  5. maxint minint MIPS • 32 bit signed numbers:0000 0000 0000 0000 0000 0000 0000 0000two = 0ten0000 0000 0000 0000 0000 0000 0000 0001two = + 1ten0000 0000 0000 0000 0000 0000 0000 0010two = + 2ten...0111 1111 1111 1111 1111 1111 1111 1110two = + 2,147,483,646ten0111 1111 1111 1111 1111 1111 1111 1111two = + 2,147,483,647ten1000 0000 0000 0000 0000 0000 0000 0000two = – 2,147,483,648ten1000 0000 0000 0000 0000 0000 0000 0001two = – 2,147,483,647ten1000 0000 0000 0000 0000 0000 0000 0010two = – 2,147,483,646ten...1111 1111 1111 1111 1111 1111 1111 1101two = – 3ten1111 1111 1111 1111 1111 1111 1111 1110two = – 2ten1111 1111 1111 1111 1111 1111 1111 1111two = – 1ten

  6. Two's Complement Operations • Negating a two's complement number: invert all bits and add 1 • Easier rule: Start at least significant bit. Copy through fist “1”. Then invert each bit. • Example 0010101100 1101010100 • remember: “negate” and “invert” are quite different! • Converting n bit numbers into numbers with more than n bits: • MIPS 16 bit immediate gets converted to 32 bits for arithmetic • copy the most significant bit (the sign bit) into the other bits 0010 -> 0000 0010 1010 -> 1111 1010 • "sign extension" (lbu vs. lb) Load byte lbu rt,ofst(rs) # rt  024||MB(rs + ofst) or rt  MB(rs + ofst) lb rt,ofst(rs) # rt  MB(rs + ofst;7)24||MB(rs + ofst) or rt MB(rs + ofst)

  7. Addition & Subtraction • Just like in grade school (carry/borrow 1s) 0111 ( 7) 0111 ( 7) 0110 ( 6)+ 0110 ( 6) - 0110 (-6) - 0101 (-5) • Two's complement operations easy • subtraction using addition of negative numbers 0111 ( 7)+ 1010 (-6) • Overflow (result too large for finite computer word): • e.g., adding two n-bit numbers does not yield an n-bit number 0111 ( 7)+ 0001 ( 1) note that overflow term is somewhat misleading, 1000 (-8) it does not mean a carry “overflowed”

  8. Detecting Overflow • No overflow when adding a positive and a negative number • No overflow when signs are the same for subtraction • Overflow occurs when the value affects the sign: • overflow when adding two positives yields a negative • or, adding two negatives gives a positive • or, subtract a negative from a positive and get a negative • or, subtract a positive from a negative and get a positive • Consider the operations A + B, and A – B • Can overflow occur if B is 0 ? • Can overflow occur if A is 0 ?

  9. Decimal value Binary Two’s complement -8 -1000 1000 -7 -0111 1001 -6 -0110 1010 -5 -0101 1011 -4 -0100 1100 -3 -0011 1101 -2 -0010 1110 -1 -0001 1111 0 0000 0000 1 0001 0001 2 0010 0010 3 0011 0011 4 0100 0100 5 0101 0101 6 0110 0110 7 0111 0111 Two’s Complement Arithmetic Representation of 4 bit words in two’s complement..

  10. When does overflow occur? Let S be the sign bit (bit 3) of the result, let Co be the carry out of the sign bit, Let SA and SB be the sign bits of the two numbers added. Then form the previous table we can construct a Karnaough map for overflow. Thus, overflow is given by . Using Co and Cin, by inspection Ov = Cin  Co

  11. Effects of Overflow • An exception (interrupt) occurs • Control jumps to predefined address for exception • Interrupted address is saved for possible resumption • Details based on software system / language • example: flight control vs. homework assignment • Don't always want to detect overflow — new MIPS instructions: addu, addiu, subunote: addiu ignores overflow. still sign-extends! note: sltu, sltiu for unsigned comparisons (no sign-extension)

  12. Review: Boolean Algebra & Gates See Appendix B • Problem: Consider a logic function with three inputs: A, B, and C. Output D is true if at least one input is true Output E is true if exactly two inputs are true Output F is true only if all three inputs are true • Show the truth table for these three functions. • Show the Boolean equations for these three functions. • Show an implementation consisting of inverters, AND, and OR gates.

  13. S A C B Review: The Multiplexor • Selects one of the inputs to be the output, based on a control input • Lets build our ALU using a MUX: 0 1 note: we call this a 2-input mux even though it has 3 inputs!

  14. operation result An ALU (arithmetic logic unit) • Let's build an ALU to support the and and or instructions • we'll just build a 1 bit ALU, and use 32 of them • Possible Implementation (sum-of-products): a b

  15. Different Implementations • Not easy to decide the “best” way to build something • Don't want too many inputs to a single gate • Don't want to have to go through too many gates • for our purposes, ease of comprehension is important • Let's look at a 1-bit ALU for addition: • How could we build a 1-bit ALU for add, and, and or? • How could we build a 32-bit ALU? cout = a b + a cin + b cin sum = a xor b xor cin

  16. Building a 32 bit ALU

  17. What about subtraction (a – b) ? • Two's complement approach: just negate b and add. • How do we negate? • A very clever solution:

  18. Tailoring the ALU to the MIPS • Need to support the set-on-less-than instruction (slt) • remember: slt is an arithmetic instruction • produces a 1 if rs < rt and 0 otherwise • use subtraction: (a-b) < 0 implies a < b • Need to support test for equality (beq $t5, $t6, $t7) • use subtraction: (a-b) = 0 implies a = b

  19. Supporting slt • Can we figure out the idea? If a < b then a – b < 0 and set i.e. (a-b) Bit 31 = 1 else set = 0.

  20. Test for equality • Notice control lines:000 = and001 = or010 = add110 = subtract111 = slt • Note: zero is a 1 when the result is zero!

  21. Conclusion • We can build an ALU to support the MIPS instruction set • key idea: use multiplexor to select the output we want • we can efficiently perform subtraction using two’s complement • we can replicate a 1-bit ALU to produce a 32-bit ALU • Important points about hardware • all of the gates are always working • the speed of a gate is affected by the number of inputs to the gate • the speed of a circuit is affected by the number of gates in series (on the “critical path” or the “deepest level of logic”) • Our primary focus: comprehension, however, • Clever changes to organization can improve performance (similar to using better algorithms in software) • we’ll look at two examples for addition and multiplication

  22. g p Lab 3 – Build modified one bit ALU in VHDL You should fully document your code, and in the lab report briefly explain the decisions you made. Include in your lab report simulation waveforms to verify the ALU1 operation, and before 3:00 p.m. on 9/21/09 email to jhtucker@vcu.edu your source code for the lab. Use “EGRE426 Lab 3-your name” as the subject line. The correct simulation waveform is shown below. Turn in your lab report at the beginning of class on 9/22/09.

  23. ARCHITECTURE TEST OF TB IS SIGNAL a, b, cin, cout, g, p: std_logic := '0'; SIGNAL ainv, binv, less, r_and, r_or, r_add, r_less: std_logic := '0'; BEGIN less <= not less after 10 ns; cin <= not cin after 20 ns; a <= not a after 40 ns; b <= not b after 80 ns; binv <= not binv after 160 ns; ainv <= not ainv when (binv'event and binv = '0'); alu1_and: entity alu1 PORT MAP (a,b,ainv,binv,less,"00",cin,R_and); alu1_or: entity alu1 PORT MAP (a,b,ainv,binv,less,"01",cin,R_or); alu1_add: entity alu1 PORT MAP (a,b,ainv,binv,less,"10",cin,R_add, cout,p,g); alu1_less: entity alu1 PORT MAP (a,b,ainv,binv,less,"11",cin,R_less, cout,p,g); process begin wait on less, cin, a, b, binv; -- Wait for input to change wait for 9 ns; -- Let settle assert r_and = (a and b) report "AND error."; assert r_or = (a or b) report "OR error."; assert r_add = ((a xor b) xor cin) report "ADD error."; assert (r_less = less) report "LESS error"; end process; END ARCHITECTURE TEST;

  24. Problem: ripple carry adder is slow • Is a 32-bit ALU as fast as a 1-bit ALU? • Is there more than one way to do addition? • two extremes: ripple carry and sum-of-products Can you see the ripple? How could you get rid of it? c1 = b0c0 + a0c0 +a0b0 c2 = b1c1 + a1c1 +a1b1 c2 = c3 = b2c2 + a2c2 +a2b2 c3 = c4 = b3c3 + a3c3 +a3b3 c4 = Not feasible! Why?

  25. Carry-lookahead adder See B-39 • An approach in-between our two extremes • Motivation: • If we didn't know the value of carry-in, what could we do? • When would we always generate a carry? gi = ai bi • When would we propagate the carry? pi = ai + bi • Did we get rid of the ripple? c1 = g0 + p0c0 c2 = g1 + p1c1 c3 = g2 + p2c2 c4 = g3 + p3c3 Feasible! Why?

  26. Use principle to build bigger adders • Can’t build a 16 bit adder this way... (too big) • Could use ripple carry of 4-bit CLA adders • Better: use the CLA principle again! See p B44-B45

  27. Multiplication • More complicated than addition • accomplished via shifting and addition • More time and more area • Let's look at 3 versions based on gradeschool algorithm 0010 (multiplicand) __x_1011 (multiplier) 0010 0010 0000 0010 . 0010110 • Negative numbers: convert and multiply • there are better techniques, we won’t look at them

  28. Multiplication: Implementation 0010 (multiplicand x_1011 (multiplier) 0010 1011 0010 0101 0000 0010 0010 0001 0010110 Two 64 bit registers, one 32 bit reg, ALU is 64 bits wide.

  29. Second Version One 64 bit reg, two 32 bit reg, 32 bit ALU.

  30. Final Version One 32 bit reg, one 64 bit reg, 32 bit ALU

  31. Faster Multiplication: See Booths algorithm for a faster serial approach. For a fully parallel approach consider: Worst case delay for S5 = 7 full adders.

  32. Carry Save Adders are a faster approach. Worst case delay for S5 = 6 FA’s, faster using one CLA. Other techniques exist.

  33. Floating Point (a brief look) • We need a way to represent • numbers with fractions, e.g., 3.1416 • very small numbers, e.g., .000000001 • very large numbers, e.g., 3.15576 ´ 109 • Representation: • sign, exponent, significand: (–1)sign´ significand ´ 2exponent • more bits for significand gives more accuracy • more bits for exponent increases range • IEEE 754 floating point standard: • single precision: 8 bit exponent, 23 bit significand • double precision: 11 bit exponent, 52 bit significand

  34. IEEE 754 floating-point standard • Leading “1” bit of significand is implicit • Exponent is “biased” to make sorting easier • all 0s is smallest exponent all 1s is largest • bias of 127 for single precision and 1023 for double precision • summary: (–1)sign´ (1+significand) ´ 2exponent – bias • Example: • decimal: -.75 = -3/4 = -3/22 • binary: -.11 = -1.1 x 2-1 • floating point: exponent = 126 = 01111110 • IEEE single precision: 1,01111110,10000000000000000000000 • Example: • 1,10000001,0100000000000000000000 = ? • Negative, exponent of 129 – 127 = +2, • Significan = 1.012 • -1.012x22 = -101.02 = -5.0

  35. Floating point addition

  36. Floating Point Complexities • Operations are somewhat more complicated (see text) • In addition to overflow we can have “underflow” • Accuracy can be a big problem • IEEE 754 keeps two extra bits, guard and round • four rounding modes • positive divided by zero yields “infinity” • zero divide by zero yields “not a number” • other complexities • Implementing the standard can be tricky • Not using the standard can be even worse • see text for description of 80x86 and Pentium bug!

  37. Chapter Three Summary • Computer arithmetic is constrained by limited precision • Bit patterns have no inherent meaning but standards do exist • two’s complement • IEEE 754 floating point • Computer instructions determine “meaning” of the bit patterns • Performance and accuracy are important so there are many complexities in real machines (i.e., algorithms and implementation). • We are ready to move on (and implement the processor)

More Related