1 / 12

…układów cyfrowych

Synteza logiczna w projektowaniu…. D. Z. Jak zaprojektować układ wykonawczy?. US. UO. X P. F. …układów cyfrowych. Automat lub mikroprogramowany układ sterujący. 1. Synteza strukturalna…. Licznik. Rejestr. Mux. …polegająca na składaniu układu z bloków funkcjonalnych. 2.

franz
Télécharger la présentation

…układów cyfrowych

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Synteza logiczna w projektowaniu… D Z Jak zaprojektować układ wykonawczy? US UO XP F …układów cyfrowych Automat lub mikroprogramowany układ sterujący 1

  2. Synteza strukturalna… Licznik Rejestr Mux …polegająca na składaniu układu z bloków funkcjonalnych 2

  3. Przykład syntezy strukturalnej W kodzie BCD (Binary Coded Decimal) każda cyfra liczby zapisanej w kodzie dziesiętnym jest przedstawiana czterobitową liczbą binarną BCD 0100 1000 1001 Konwerter kodu binarnego na kod BCD: Np. liczba 489 zostanie zapisana jako wektor binarny z wykorzystaniem 12 bitów (3  4 bity) 4 8 9 3

  4. Konwerter Bin2BCD 27BIN 27BCD 0 0 1 0 0 1 1 1 0 0 0 1 1 0 1 1 0  liczby  99 BIN/BCD Tradycyjną metodę syntezy strukturalnej skonfrontujemy z nowoczesnymi metodami syntezy logicznej 4

  5. Zasady konfrontacji EPF10K Realizacja – w strukturze FPGA (Stratix) Jakość realizacji: a) Liczba zajętych komórek LC b) Szybkość – maksymalna liczba słów przetwarzanych w ciągu 1 sek. 5

  6. Synteza strukturalna - metoda +3 Szkic metody: 0 0 0 0 0 0 0 0 27 = 0 0 0 1 1 0 1 1 LDA LDB LB 0 0 0 0 0 0 0 0 LDB  5 NIE TAK  8 LDB := LDB LDB := LDB+3 6

  7. Realizacja na blokach funkcjonalnych 4 8 4 „8” 4 LB R3 R2 R1 LK LOAD1 DEC A B S3 S2 S1 LK = 0 0 1 MUX MUX „5” „3” 4 US K  B A 4 4 R4 LOAD2 8 K  5 Y = LD 7

  8. Komputerowe projektowanie… uzyskaną strukturę zapisujemy w języku opisu sprzętu i kompilujemy w systemie Quartus SPECYFIKACJA SIEĆ FUNKCJONALNA LOGICZNA (HDL) SYNTEZA OPTYMALIZACJA FUNKCJONALNA LOGICZNA ODWZOROWANIE OPIS RTL TECHNOLOGICZNE 54 LEs ─ 33 mln/sek TRANSLACJE SPECYFIKACJI 8

  9. AHDL/VHDL Konwerter Bin2BCD na poziomie logicznym .type fr .i 9 .o 8 .p 100 000000000 0000 0000 000000001 0000 0001 000000010 0000 0010 000000011 0000 0011 000000100 0000 0100 000000101 0000 0101 000000110 0000 0110 000000111 0000 0111 000001000 0000 1000 000001001 0000 1001 000001010 0001 0000 000001011 0001 0001 000001100 0001 0010 000001101 0001 0011 * * * 001100001 1001 0111 001100010 1001 1000 001100011 1001 1001 .e Tablica prawdy

  10. Komputerowe projektowanie… SPECYFIKACJA SIEĆ FUNKCJONALNA LOGICZNA (HDL) SYNTEZA OPTYMALIZACJA FUNKCJONALNA LOGICZNA ODWZOROWANIE OPIS RTL TECHNOLOGICZNE 24 LEs ─ 313 mln/sek TRANSLACJE SPECYFIKACJI 10

  11. Specjalizowana procedura dekompozycji TITLE " Decomposed project: bin2bcd "; % Translated from DEMAIN format % % Warsaw University of Technology % % Institute of Telecommunications % SUBDESIGN A ( in_1, in_2, in_3, in_4 :INPUT; in_5, in_6, in_7 :INPUT; out_1, out_2, out_3, out_4 :OUTPUT; out_5, out_6, out_7, out_8 :OUTPUT; ) VARIABLE g1_1, g1_2, g1_3, g3_1 :LCELL; g2_1, g2_2 :LCELL; BEGIN ---- Level 1 ---- TABLE (in_1, in_2, in_3, in_4) => (g1_1); B"1000" => B"0"; B"0011" => B"0"; ................. B"0001" => B"1"; B"1011" => B"1"; END TABLE; TABLE (in_6, g1_1, g2_1, g2_2) => (out_7); B"0X10" => B"0"; ................. B"1011" => B"1"; END TABLE; END; # Konwerter bin2bcd .type fr .i 7 .o 8 .p 100 0000000 00000000 0000001 00000001 0000010 00000010 0000011 00000011 0000100 00000100 0000101 00000101 . . . .......... 1011111 10010101 1100000 10010110 1100001 10010111 1100010 10011000 1100011 10011001 .e Procedura dekompozycji  13 komórek (!!!) 352 mln/sek 11

  12. Porównanie realizacji BIN2BCD Liczba elementów logicznych ─ liczba słów Synteza strukturalna – 54 LEs ─ 33 mln/sek Synteza logiczna: system komercyjny Quartus – 24 LEs ─ 313 mln/sek system specjalizowany – 13 LEs ─ 352 mln/sek Procesor AMD Athlon™ 64X2 Dual Core 4200+ 2.2 GHz – 9,17 mln/sek Nie zapominajmy o syntezie logicznej w projektowaniu układów cyfrowych

More Related