1 / 43

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme. Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke). Gliederung. 5.1 Asynchrone Schaltwerke 5.2 Register. Motivation. Einige typische Schaltungen lassen sich nicht mit Kombinatorik alleine realisieren. Beispiele dafür sind:

gavivi
Télécharger la présentation

Hardwarearchitekturen und Rechensysteme

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke)

  2. Gliederung • 5.1 Asynchrone Schaltwerke • 5.2 Register

  3. Motivation • Einige typische Schaltungen lassen sich nicht mit Kombinatorik alleine realisieren. • Beispiele dafür sind: • Kombinatorik ausreichend • Addierer • Multiplexerschaltungen • zweistufige Logik • ... • Ãein Gedächtnis wird benötigt, um den vorangegangenen Zustand mit in die nächste Berechnung einfließen zu lassen. Dies wird durch eine Rückkopplung eines oder mehrerer Ausgänge der Schaltung zu den Eingängen der Schaltung realisiert. • Kombinatorik nicht ausreichend • Zähler • Fahrstuhlschaltungen • Programmabarbeitung • ...

  4. Motivation 2 • Beispiel für eine Schaltung mit Rückkopplung: Zähler • Die Zählerschaltung muss sich jeweils merken, welchen Zählerstand sie im vorigen Schritt hatte (nalt). Im kombinatorischen Teil der Schaltung wird der Zähler um 1 erhöht und das neue Ergebnis (nneu) für den nächsten Zählschritt wiederum zum Eingang rückgeführt. nneu = nalt + 1 Zählsignal 1 Ergebnis Kombinatorischer Teil: Addierer nalt Speicher nneu

  5. Schaltnetz / Schaltwerk • Schaltnetze (kombinatorische Schaltungen): • Die Ausgabe hängt lediglich von den Werten der Eingangsvariablen zum gleichen Zeitpunkt ab. • Schaltwerke (sequentielle Schaltungen): • Die Ausgabewerte hängen auch von Belegungen der Eingangsvariablen zu vergangenen Zeitpunkten ab. • Man fasst hierbei alle Abhängigkeiten von Werten der Vergangenheit ein einem so genannten Zustand zusammen

  6. Formen von Schaltwerken • Einfachste Realisierung des Zustandsspeichers: Rückkopplung im Schaltnetz. Die Totzeit der Rückkopplung stellt hierbei den Zustandsspeicher dar und trennt Zustand von Folgezustand. • Da sich hierbei Änderungen der Eingabe jederzeit auf den Zustand auswirken, spricht man von einem asynchronen Schaltwerk • Werden die Zustandsspeicher hingegen von einem zentralen Synchronisierungssignal (Takt) gesteuert, so spricht man von einem synchronen Schaltwerk

  7. x y 1 1 Schalt- netz x y n m z 1 z p 5.1 Asynchrone Schaltwerke • Rückgekoppelte Schaltnetze: • Das Schaltnetz besitzt jetzt eine Rückkopplung. Dadurch ist die Ausgabe nicht mehr allein vom Eingabevektor abhängig, sondern auch vom inneren Zustand. Die Analyse wird deshalb sehr schwierig. Aus diesem Grund wird zunächst als Vereinfachung ein Schaltnetz ohne innere Verzögerung betrachtet.

  8. x y 1 1 Schalt- netz x y n m z w 1 1 z w p p T p T 1 Rückgekoppelte Schaltung • Rückgekoppeltes Schaltnetz ohne innere Verzögerung: • Der Gesamtzustand des Systems wird aus dem Eingangsvektor und dem Rückkopplungszustand bestimmt. Der Zustand des Systems ist stabil, wenn:

  9. Rückgekoppelte Schaltung • Rückgekoppelte Schaltung ohne innere Verzögerung: • Weiterhin gilt für i = 1, …, pund j = 1, …, m: • Anregungsfunktionen: • Ausgangsfunktionen: • Vereinfachend wird angenommen, dass sich der Eingangsvektor nur ändert, wenn der Zustand des Systems stabil ist.

  10. Rückgekoppelte Schaltung • Beispiel: • Übergangs- und Ergebnistabelle: w ideales Schaltnetz 1 x z 1 1 T 1 x z 2 1 2

  11. 01/10 -0/01 0 1 0-/10 11/00 1-/00 Zustandsdiagramm – Beispiel • Notation: x1x2/w1z2 an den Übergängen, z=z1 im Zustand • Die Variable zbezeichnet den aktuellen Zustand und die Variable w1 den Folgezustand der rückgekoppelten Schaltung. • Für x1x2 = 00 ist die Schaltung unabhängig vom Zustand stabil. Eine solche Schaltung heißt bistabile Schaltung oder auch Flipflop. • Befindet sich die Schaltung im Zustand z = 0, dann kann sie mit x1x2 = 01 in den Zustand z = 1 gebracht werden. Liegt anschließend x1x2 = 0- an, bleibt • der Zustand z = 1 stabil. • Befindet sich die Schaltung im Zustand z = 1, dann kann sie mit x1x2 = 10 in den Zustand z = 0 gebracht werden. Liegt anschließend x1x2 = -0 oder oder x1x2 = 11 an, bleibt der Zustand z = 0 stabil.

  12. Einschränkungen • Einschränkungen der bisherigen Betrachtungen: • Keine Änderung des Eingangsvariablen, solange die Schaltung nicht in einem stabilen Zustand ist. • Es sind nur Einkomponentenübergänge des Zustandes möglich, da nur ein Verzögerungsglied vorhanden ist.

  13. x y Verknüpfungs- netz z w 1 1 T 1 z w 2 2 T 2 Läufe in rückgekoppelten Schaltungen • Lauf: • Bei mehreren rückgekoppelten Variablen können unterschiedliche Verzögerungszeiten der einzelnen Variablen zu unterschiedlichen Ergebnissen führen. Dies ist abhängig davon, in welcher Reihenfolge die rückgekoppelten Variablen ihren Wert ändern. • Kritischer Lauf: • Ein Lauf, der je nach Laufzeitrelationen zu verschiedenen stabilen Endzuständen führt, heißt kritischer Lauf.

  14. Notation an der Kante: x Mehrkomponenten- 0 übergang 01 0 1 1 1 00 0 2 10 1 3 1 0 11 Mehrkomponenten- 0 übergang Fehlverhalten durch kritische Läufe • Beispiel: Zählerschaltung (von 0 bis 3)

  15. für x = 1 T < T 11 1 2 T > T T < T 1 2 1 2 T = T T = T 1 2 1 2 10 01 T > T 1 2 00 w = z z z z 1 1 2 1 2 w = 0 2 Analyse möglicher Übergänge • Mögliche Übergänge aus instabilen in stabile Zustände: • Schlußfolgerung: • Durch unterschiedliche Laufzeiten der Rückkopplungsvariablen können kritische Läufe bei x = 1 entstehen. für x = 0 00 10 T < T 1 2 T = T 1 2 T > T 1 2 01 11 w = z 1 1 w = 1 2

  16. für x = 1 T < T 11 1 2 T > T T < T 1 2 1 2 T = T T = T 1 2 1 2 10 01 T > T 1 2 00 w = z z z z 1 1 2 1 2 w = 0 2 Im Schrittmodus mit Logiflash

  17. Mögliche Formen des Zustandsübergangs: • Direkter Übergang: • Ein stabiler Zustand geht bei Eingabewechsel direkt in einen stabilen Folgezustand über • Indirekter Übergang: • Der stabile Folgezustand stellt sich über mehrere instabile Zwischenzustände ein • Oszillation: • Es stellt sich überhaupt kein stabiler Folgezustand ein

  18. Notation an der Kante: x 1 01 1 0 1 0 00 0 2 11 0 3 0 1 10 1 Vermeidung von Fehlverhalten durch Läufe • Beispiel: Gray-Code-Zählerschaltung (von 0 bis 3) • Schlußfolgerung: • Bei einem Wechsel von einem Zustand in den nächsten ändert sich jeweils nur eine Variable. Es können daher keine kritischen Läufe auftreten.

  19. NOR-Flipflop

  20. 00 00 00 00 T T T > T T <=> T T <=> T T <=> T T <=> T T <=> T T <=> T 1 1 2 1 2 1 2 1 2 1 2 1 2 1 2 2 2 2 2 T T T 10 01 10 01 10 01 = = = = 1 1 1 1 T T T T T > T T < T T > T T < T T > T T < T T > T T < T 1 2 1 2 1 2 1 2 1 2 1 2 1 2 1 2 11 11 11 11 x x = 00 x x = 01 x x = 11 x x = 10 1 2 1 2 1 2 1 2 speichern rücksetzen unzulässig setzen Detailanalyse NOR-Flipflop • Zustandsübergänge für verschiedene Eingangszustände und Laufzeitrelationen: • Bei Eingangsvektor x1x2 = 00 kritischer Lauf, wenn z1z2 = 00 oder z1z2 = 11, u. U. sogar Schwingen. < 2 T 10 01

  21. Weiteres Problem: Hazardfehler während Übergängen • Treten während Zustandsübergängen Hazardfehler auf, so können ebenfalls unerwünschte Endzustände oder Oszillationen auftreten • Nochmals das Beispiel vom Anfang: x1x2 z1 00 01 11 10 0 0 1 00 1 11 0 0 w ideales Schaltnetz 1 x z 1 1 T 1 x z 2 1 2 Stabiler Übergang w1 = x1x2 x1z1

  22. Weiteres Problem: Hazardfehler während Übergängen w1 x1 0 0 0 1 1 0 0 1 w1 = x1x2 x1z1 1 x2 z1 Statischer 0 Funktionshazard x1x2 z1 00 01 11 10 0 0 1 00 1 11 0 0 w ideales Schaltnetz 1 x z 1 1 T 1 x z 2 1 2 Falscher Übergang bei Hazardfehler

  23. Zur Vermeidung von Problemen bei asynchronen Schaltwerken • Wettlauffreie Zustandskodierung • Hazardarme Schaltnetze entwerfen • Betrieb im Normal Fundamental Mode: • Es ändert sich maximal eine Eingangsvariable gleichzeitig • Ein Eingabewechsel erfolgt erst dann, wenn alle internen Änderungen abgeklungen sind

  24. R 1 Q S Q R Q 1 Q S Schaltsymbol 5.2 Register • Das Basis RS-Flipflop (RESET/SET) aus NOR-Gattern: • Zustandsfolgetabelle:

  25. RS-Flipflop • Erweiterte Zustandsfolgetabelle:

  26. S Q C R Q RS-Flipflop mit Pegelsteuerung (Latch) • Schaltung: • C = Clock (Takt) • Schaltsymbol:

  27. RS-Flipflop mit Pegelsteuerung (Latch) • Zustandsfolgetabelle: Erweiterte Zustandsfolgetabelle:

  28. C 1 0 t R 1 0 t S 1 0 t Q 1 0 t t t t t 1 2 3 4 Impulsdiagramm für das RS-Flipflop • Ohne Verzögerung:

  29. Impulsdiagramm für das RS-Flipflop • Mit Verzögerung: C 1 0 t t t t 1 2 3 R 1 0 t S 1 0 t Q 1 0 t t t t PLH PHL PHL

  30. D S Q D Q C C C R Q Q 1 D-Flipflop mit Pegelsteuerung • Beim D-Flipflop handelt es sich um ein erweitertes RS-Flipflop mit nur einem Eingang (D). • Schaltung: Symbol: • Wie in der Schaltung zu sehen ist, kann das RS-Flipflop nur mit 01 oder 10 angesteuert werden. Die unzulässige Eingangsbelegung 11 des RS-Flipflops wird vermieden.

  31. Taktflankensteuerung • Bei einem taktflankengesteuerten Flipflop erfolgt die Fortschaltung der Eingangsbelegung nicht fortwährend beim Taktpegel 1, sondern nur zum Zeitpunkt der Taktflanke, d.h. beim Wechsel des Taktpegels von 0 nach 1 bzw. 1 nach 0 für einen invertierten Takteingang. • Die Taktflankensteuerung wird durch einen spitzen Pfeil am Takteingang im Flipflop-Symbol dargestellt.

  32. RS-Master-Slave-Flipflop mit negativer Taktflankensteuerung • Schaltung: • Symbol: Die Bezeichnungen - Zwei-Pegelgesteuertes Flipflop - Takflankengesteuertes Flipflop für dieses Flipflop sind äquivalent. S Q C R Q

  33. RS-Master-Slave Flipflop mit negativer Taktflankensteuerung • Zustandsfolgetabelle:

  34. Impulsdiagramm • RS-Master-Slave-Flipflop mit negativer Taktflankensteuerung :

  35. RS Master-Slave-Flipflop aus NAND-Gattern

  36. Funktionsablauf Master-Slave Flipflop • U1 Schaltschwelle des Inverters t1Sperren Slave-Flipflop • U2 Schaltschwelle der NAND-Gatter t2 Freigabe Master-Flipflop • t3 Sperren Master-Flipflop • t4 Freigabe Slave-Flipflop

  37. D S Q C C R Q 1 D-Master-Slave-Flipflop mit Taktflankensteuerung

  38. JK-Master-Slave-Flipflop mit Taktflankensteuerung Hierbei handelt es sich um ein erweitertes RS Flipflop, bei dem die die ungültige Eingangskombination 11 zum Wechsel des Zustandes genutzt wird J K Qn+1 0 0 Qn speichern 1 0 1 setzen 0 1 0 rücksetzen 1 1 Qn wechseln (vereinfachte Tabelle ohne Takt)

  39. JK-Master-Slave-Flipflop mit Taktflankensteuerung Schaltplan: d = QK  QJ &  1 K & J D Q C C Q J Q Symbol: C Q K

  40. T-Master-Slave-Flipflop • Schaltung: • Symbol: • T = Toggle (Umschalten, Kippen) T J Q C C Q K T Q T Qn+1 0 Qn 1 Qn C Q

  41. Übersicht: Flipflop-Typen ohne Takt- Pegel- Flanken- steuerung steuerung steuerung RS-Flipflop S S S C C R R R D-Flipflop D D C C T T-Flipflop C J JK-Flipflop C K

  42. D D D D D D D D C C C C C C C C Register • Das Standardflipflop für die Verwendung in synchronen Schaltungen ist das taktflankengesteuerte D-FlipFlop • Es lässt sich durch Anreihung zu einem Register z.B. mit 8Bit Breite anordnen

  43. Schieberegister • Sequenzielle Schaltungen werden in der Regel mit taktflankengesteuerten • D-Flipflops aufgebaut. Mit pegelgesteuerten Flipflops können die Zustände nicht dauerhaft gespeichert werden. 3-Bit Schieberegister mit taktflankengesteuerten D-Flipflops 3-Bit Schieberegister mit pegelgesteuerten D-Flipflops

More Related