1 / 41

CLASE 5

CLASE 5. SE RECOMIENDA MIRAR LOS SIGUIENTES ENLACES. http:// www.virtual.unal.edu.co/cursos/ingenieria/2000477/index.html http://www.teahlab.com / http:// medusa.unimet.edu.ve/sistemas/bpis03/clases.htm http:// tams-www.informatik.uni-hamburg.de/applets/hades/webdemos/toc.html. DISEÑO LOGICO.

ingo
Télécharger la présentation

CLASE 5

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. CLASE 5

  2. SE RECOMIENDA MIRAR LOS SIGUIENTES ENLACES • http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/index.html • http://www.teahlab.com/ • http://medusa.unimet.edu.ve/sistemas/bpis03/clases.htm • http://tams-www.informatik.uni-hamburg.de/applets/hades/webdemos/toc.html

  3. DISEÑO LOGICO Hasta el momento mediante el uso de compuertas lógicas ha sido posible tratar la mayoría de problemas de diseño lógico.

  4. DISEÑO LOGICO Sin embargo cuando la aplicación digital se hace mas compleja, hacer el diseño a punta de compuertas (siguiendo las pautas vistas hasta el momento en el curso) el procedimiento de diseño se hace mas difícil.

  5. ESCALA DE INTEGRACION El progreso en la fabricación de circuitos integrados ha permitido incrementar la capacidad de almacenamiento de componentes y compuertas.

  6. MULTIPLEXORES Llamado también selector de datos. Selecciona una de varias líneas de entrada para que aparezcan en una única línea de salida. sel = 0 sel = 1 Datos de salida In 0 Datos de entrada out Mux 2:1 In 1 sel Selector I0 sel out I1 out = sel’.I0+ sel.I1

  7. MULTIPLEXORES • Un multiplexor enluta uno de sus N datos de entrada a su única salida, basado en el valor binario de sus entradas. • Para un multiplexor con N entradas hay entradas de selección. (Colocar tabla). in 0 in 1 in 2 in 3 in 4 Out Mux n:1 in 5 in 6 in 7 in 8 … in N log2(N) Sel

  8. IMPLEMENTACION A NIVEL DE COMPUERTAS LOGICAS

  9. MULTIPLEXORES CON HABILITACION • Adicionalmente a las entradas tradicionales del mux (selector y entradas del mux) puede haber otra entrada adicional conocida como Enable, la cual como su nombre lo indica habilita o no la salida del mux. • El enable puede ser activado en bajo o alto: • (Activación en bajo): Significa que esta entrada debe estar en 0 (L) para que el mux este habilitado. • (Activación en alto): Significa que esta entrada debe estar en 1 (H) para que el mux este habilitado.

  10. MULTIPLEXORES En general:

  11. ALGUNOS MULTIPLEXORES COMERCIALES

  12. MUX 8:1 (74151) 3 líneas de selección o dirección Dos salidas, una complementada (WN) y otra sin complementar (Y) 8 líneas de entrada de datos Posee una habilitador activo en bajo

  13. MUX 2:1 (74157) Posee línea de selección de datos común a los 4 MUX. Posee 4 multiplexores de 2 entradas Posee un habilitador activo en bajo, el cual es común para los cuatro multiplexores.

  14. MUX 2:1 (74157)

  15. I0I1I2I3 8:1mux 4:1mux Z 2:1mux I4I5I6I7 I0I1 8:1mux 2:1mux 4:1mux I2I3 2:1mux 4:1mux Z B C A I4I5 2:1mux I6I7 2:1mux A B C MULTIPLEXORES EN CASCADA Es posible construir un N:1 multiplexor desde algunos multiplexores de menos entradas. Mux 8:1 Cuatro mux 2:1 y un mux 4:1. Dos mux 4:1 y un mux 2:1. Las señales de control B y C simultáneamente elijen una de las entradas I0, I1, I2, I3 y una de las entradas I4, I5, I6, I7. La señal de control A elige cual salida (la de arriba o abajo) de los mux 4:1 es llevada a Z.

  16. APLICACIONES DE LOS MULTIPLEXORES • Los multiplexores son principalmente usados para: • Seleccionar una de varias fuentes de datos. • Implementar funciones lógicas en general.

  17. 10100011 01234567 F 8:1 MUX S2 S1 S0 A B C IMPLEMENTACION DE FUNCIONES LOGICAS • Los multiplexores de pueden implementar cualquier función lógica de n variables, para esto: • Se usan las variables como entradas de control (selectores). • Se colocan las entradas del multiplexor en 1 o 0 dependiendo de la función lógica a implementar. Ejemplo: Implementar por medio de un multiplexor la siguiente función lógica de 3 entradas. F(A,B,C) = m0 + m2 + m6 + m7 = A'B'C' + A'BC' + ABC' + ABC

  18. A B C F0 0 0 10 0 1 00 1 0 10 1 1 01 0 0 01 0 1 01 1 0 11 1 1 1A B C F0 0 0 10 0 1 00 1 0 10 1 1 01 0 0 01 0 1 01 1 0 11 1 1 1 10100011 01234567 C'C'01 C'C'01 0123 F 4:1 MUX F 8:1 MUX S1 S0 A B S2 S1 S0 A B C IMPLEMENTACION DE FUNCIONES LOGICAS (II) • Los multiplexores de pueden implementar cualquier función lógica de n variables, para esto: • Se usan n-1 variables como entradas de control (selectores). • Se asocian las entradas del multiplexor a la ultima variable o a su complemento. Ejemplo: Implementar por medio de un 4:1 multiplexor implementar la función lógica anterior F(A,B,C) = m0 + m2 + m6 + m7 = A'B'C' + A'BC' + ABC' + ABC

  19. 1D01D’DD’D’ 01234567 A 1 1 0 0 1 0 1 0 8:1 MUX D 0 1 1 0 1 1 0 1 S2 S1 S0 C B A B C IMPLEMENTACION DE FUNCIONES LOGICAS (III) La generalización del caso anterior se puede mostrar a continuación: I0 I1 . . . In-1 In F. . . . 0 0 011. . . . 1 0 101 0 In In' 1 4 posibles configuraciones de la tabla de verdad pueden ser expresadas como una función de . n -1 variables de control para el mux. Variable de entrada al mux. Ejemplo: Implementar F(A,B,C,D) por medio de un mux 8:1 Seleccionando A, B, C como variables de control la implementación con mux 8:1 se muestra a continuación.

  20. IMPLEMENTACION DE SELECTORES DE SEÑALES Haciendo uso de multiplexores es posible llevar una de varias posibles entradas a la salida. • En los diferentes casos vistos anteriormente se ha trabajado con multiplexores de datos de un solo bit. Es decir un solo bit es enrutado de la entrada a la salida. • Muchas aplicaciones especialmente aritméticas requieren trabajar con datos de múltiples bits. • Es posible construir multiplexores para datos de múltiples bits a partir de mux sencillos

  21. DEMULTIPLEXORES Realiza la operación inversa al multiplexor: acepta una única línea de entrada y la guía o enruta hacia alguna de varias líneas de salida dependiendo de la dirección especificada por la(s) línea(s) de selección. sel=0 sel=1 out0 sel I I in Demux 2:1 out0 = sel’.I out1 = sel .I out1 out0 out1 sel

  22. DEMULTIPLEXORES O0 O1 O2 O3 Demux 8:1 Demux 4:1 Demux 2:1 O0 O1 O2 O3 O0 O1 G G G O4 O5 O6 O7 Sel S2 S1 S0 S1 S0 En general:

  23. DEMULTIPLEXORES Línea 1 MULTIPLEXOR MUX Línea 2 Línea 3 DEMULTIPLEXOR DEMUX Línea 4 Central

  24. CODIFICADORES Y DECODIFICADORES Son circuitos que realizan la traducción entre códigos numéricos, esto muchas veces para facilitar el procesamiento de los datos (codificadores) y la visualización o interpretación de los datos (decodificadores).

  25. CODIFICADORES • Un codificador es un dispositivo lógico de múltiples entradas y múltiples salidas, el cual convierte un código de entrada en un código de salida, tal que el código entrada es diferente al código salida. • En un codificador el código de entrada tiene más bits que el código de salida, y además existe un mapeo uno a uno entre los códigos de entrada y los códigos de salida. • Existen varios clases de codificadores, los mas populares son: • Codificador binario o codificador a n. • Codificador decimal-binario. • Codificadores de Teclado. A0 A1 A2 AM-1 Codificador O0 O1 O2 ON-1 M entradas, solo hay activa una entrada en el momento dado. Código de salida de N bits any Salida any indica si una de las entradas se encuentra activa

  26. CODIFICADORES Codificador 2:1 Codificador 8:3

  27. CODIFICADOR A n Caso de estudio: codificador a n con n = 2. 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 1 1 2 salidas 4 entradas A cada código posicional de 4 bits de entrada se le asigna un código binario de 2 bits a la salida. Circuito Lógico Codificador 4 a 2 Los códigos con múltiples entradas activas son inválidos. ¿Que pasa con los códigos no validos?

  28. Nivel de Importancia 1er 2do 3er 4to CODIFICADORES CON PRIORIDAD Para evitar problemas cuando varias líneas de entrada están activas al mismo tiempo se desarrollaron los codificadores de prioridad. 0 0 D0 En estos codificadores a cada línea de entrada se le asigna una importancia (prioridad), en el caso en que varias líneas se activen al mismo tiempo, el código asignado a la salida es el que pertenece a la línea de mayor importancia. D3 D1 D2

  29. CODIFICADORES CON PRIORIDAD Caso de estudio: Codificador 8:3 con prioridad.

  30. 74LS148 – CODIFICADOR CON PRIRIDAD 8 A 3

  31. 74LS147 CODIFICADOR DECIMAL-BINARIO CON PRIORIDAD Ejemplo: Codificador de decimal a BCD con prioridad (circuito estándar 74147 (74LS147, 74HC147). Tiene nueve entradas activas en bajo, las cuales representan los dígitos decimales del 1 al 9 y produce un código BCD invertido que corresponde a la mayor entrada que se activó.

  32. 74LS147 CODIFICADOR DECIMAL-BINARIO CON PRIORIDAD 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 0 1 1 0 0 0 1 0 0 1 1 1 1 1 1 1 2 7 3 0

  33. DECODIFICADORES • Un decodificador es un dispositivo lógico de múltiples entradas y múltiples salidas, el cual convierte un código de entrada en un código de salida, tal que el código entrada es diferente al código salida. • En un codificador el código de entrada tiene menos bits que el código de salida, y además existe un mapeo uno a uno entre los códigos de entrada y los códigos de salida. • El decodificador revierte el trabajo hecho por el codificador, esto quiere decir que si el codificador convierte una entrada decimal en binario, el decodificador convierte una entrada binaria en decimal. A0 A1 A2 AN-1 O0 O1 O2 OM-1 Solo una salida esta en alto para cada código de entrada. códigos de entrada. decodificadores

  34. DECODIFICADORES La siguiente figura muestra el funcionamiento de los decodificadores. Así como en los otros casos, los decodificadores pueden tener entrada de habilitación (enable). La siguiente figura muestra el funcionamiento de un decodificador para este caso:

  35. DECODIFICADORES Decodificador 1:2 Decodificador 2:4

  36. DECODIFICADORES Decodificador 3:8 • Existen varios clases de decodificadores lógicos, los más populares son: • Decodificador binario o decodificador a n. • Decodificador BCD a decimal. • Decodificadores BCD a 7 segmentos. • Algunos decodificadores comerciales son: • 74138 – decodificador 3 a 8. • 74139 – decodificador 2 a 4. • 7447 – decodificador bcd a 7 segmentos.

  37. DECODIFICADOR n A Caso de estudio: Decodificador a n con n = 2. 0 0 0 1 1 0 1 1 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 2 entradas 4 salidas

  38. DECODIFICADORES Ejemplo: Circuito decodificador de BCD a Decimal (Circuito estándar 7442) (la salida es activa en cero)

  39. 01234567 A'B'C'A'B'CA'BC'A'BCAB'C'AB'CABC'ABC “1” 3:8 DEC S2 S1 S0 A B C IMPLEMENTACION DE FUNCIONES LOGICAS USANDO DECODIFICADORES • Un decodificador pueden implementar cualquier función lógica de n variables, para esto: • Se usan las variables como entradas de control. • La entrada enable se debe activar. (A estado alto o bajo según sea la lógica). • Los minterminos apropiados son sumados para formar la función.

  40. 0 A'B'C'D'1 A'B'C'D2 A'B'CD'3 A'B'CD4 A'BC'D'5 A'BC'D6 A'BCD'7 A'BCD8 AB'C'D'9 AB'C'D10 AB'CD'11 AB'CD12 ABC'D'13 ABC'D14 ABCD'15 ABCD0 A'B'C'D'1 A'B'C'D2 A'B'CD'3 A'B'CD4 A'BC'D'5 A'BC'D6 A'BCD'7 A'BCD8 AB'C'D'9 AB'C'D10 AB'CD'11 AB'CD12 ABC'D'13 ABC'D14 ABCD'15 ABCD F1 4:16DEC Enable F2 F3 A B C D IMPLEMENTACION DE FUNCIONES LOGICAS USANDO DECODIFICADORES • Implemente las siguientes funciones lógicas usando decodificadores: • F1 = A’BC’D + A’B’CD + ABCD • F2 = ABC’D’ + ABC • F3 = (A’ + B’ + C’ + D’)

  41. DECODIFICADORES EN CASCADA • Decodificador 5:32 • 1x2:4. • 4X3:8. 0 A'B'C'D'E'1234567 012 A'BC'DE'34567 3:8 DEC 3:8 DEC S2 S1 S0 S2 S1 S0 0123 2:4 DEC F S1 S0 01234567 ABCDE 0 AB'C'D'E'1234567 AB'CDE A B 3:8 DEC 3:8 DEC S2 S1 S0 S2 S1 S0 C D C D E E

More Related