420 likes | 810 Vues
直流馬達控制實習. VHDL 數位電路實習與專題設計 文魁資訊 -UE301. 單元 10-1 PWM 脈寬調變實習. 實驗目的 瞭解直流馬達之驅動與 PWM 脈寬調變的控制方法. 相關知識. 直流馬達之驅動 直流馬達為兩個輸入的驅動界面,一般稱為 與 ,與步進馬達不同,一般直流馬達的驅動方式有兩種 : 電壓驅動:兩極的電壓差越大轉速越快,電壓差越小轉速越慢 電流驅動:兩極的電流量越大扭力越強,電流量越小扭力越弱 本章節以介紹如何控制直流馬達的轉速與轉向為主
E N D
直流馬達控制實習 VHDL數位電路實習與專題設計 文魁資訊-UE301
單元10-1 PWM脈寬調變實習 • 實驗目的 • 瞭解直流馬達之驅動與PWM脈寬調變的控制方法 VHDL數位電路實習與專題設計
相關知識 • 直流馬達之驅動 • 直流馬達為兩個輸入的驅動界面,一般稱為 與 ,與步進馬達不同,一般直流馬達的驅動方式有兩種 : • 電壓驅動:兩極的電壓差越大轉速越快,電壓差越小轉速越慢 • 電流驅動:兩極的電流量越大扭力越強,電流量越小扭力越弱 • 本章節以介紹如何控制直流馬達的轉速與轉向為主 • 可是我們CPLD只有數位訊號輸出,要怎麼產生不同電壓差的類比訊號呢?以下我們介紹PWM脈寬調變電路控制技術 VHDL數位電路實習與專題設計
相關知識 • PWM脈寬調變 • PWM是Pulse Width Modulation的縮寫,就是調整脈波寬度的意思 • 工作率示意圖 VHDL數位電路實習與專題設計
相關知識 • 若我們的高準位是5V電源電壓,低準位是0V電壓,則我們可以得到的平均電壓為 • PWM示意圖 VHDL數位電路實習與專題設計
相關知識 • PWM產生器方塊圖 比較器之VHDL語法:PWM <= '1' when A > B else '0'; VHDL數位電路實習與專題設計
相關知識 • 計數器採下數計數器與上數計數器的兩種PWM訊號 VHDL數位電路實習與專題設計
實驗功能 • 利用指撥開關來產生不同的PWM訊號以得到不同的電壓值,我們將輸出驅動LED,以觀察LED的明亮差異 VHDL數位電路實習與專題設計
實驗電路圖 • PWM實習電路圖 VHDL數位電路實習與專題設計
1 ------------------------------------------------------------------- 2 --實驗名稱:PWM實習 3 --檔案名稱:pwm.vhd 4 --功 能:以指撥開關來調整PWM訊號輸出 5 --日 期:2003.8.8 6 ------------------------------------------------------------------- 7 library ieee; 8 use ieee.std_logic_1164.all; 9 use ieee.std_logic_arith.all; 10 use ieee.std_logic_unsigned.all; 11 12 entity pwm is 13 port( 14 clk :in std_logic; --系統頻率 15 A :in std_logic_vector(7 downto 0); 16 pwm :out std_logic 17 ); 18 end pwm; 19 20 architecture a of pwm is 21 signal B: std_logic_vector(7 downto 0); 22 begin 23 24 ----- 下數計數器 ----- 25 process(clk) 26 begin 27 if clk'event and clk='1' then 28 B <= B-1; 29 end if; 30 end process; 31 32 ----- 比較器 ----- 33 pwm<='1' when A > B else '0'; 34 end a; PWM實習程式碼 VHDL數位電路實習與專題設計
功能模擬與CPLD下載驗證 VHDL數位電路實習與專題設計
燒錄於力浦電子FPT-3實驗板 • PWM實習腳位配置圖 VHDL數位電路實習與專題設計
PWM A 燒錄於力浦電子FPT-3實驗板 • FPT-3實驗板元件規劃 VHDL數位電路實習與專題設計
燒錄於力浦電子LP-2900實驗板 • 由於LP-2900實驗板上之L1 LED燈示為HI啟動,而Pin141為LED的陰極共點端,在使用上我們將該腳位連接VCC才能驅動LED。因此我們可以利用Graphic Editor呼叫pwm.sym元件,並將主程式電路圖重新更改如下(pwm_lp2900.gdf) VHDL數位電路實習與專題設計
燒錄於力浦電子LP-2900實驗板 • PWM實習腳位配置表 VHDL數位電路實習與專題設計
PWM A 燒錄於力浦電子LP-2900實驗板 • LP-2900實驗板元件規劃 VHDL數位電路實習與專題設計
單元10-2 直流馬達轉速與轉向控制實習 • 實驗目的 • 瞭解直流馬達驅動電路以及轉速與轉向的控制方法 VHDL數位電路實習與專題設計
相關知識 • 直流馬達之驅動電路 • 在本章節我們要介紹一顆可以利用信號來控制的電子開關, TOSHIBA所出的直流馬達驅動器TA7291,TA7291的腳位圖如下圖所示 VHDL數位電路實習與專題設計
相關知識 • TA7291是由四組NPN電晶體所組成的H橋構造,因此我們可藉由參考電壓Vref腳位來作輸出至直流馬達電壓的調節。而我們所用的脈波寬度調變訊號(PWM)即是輸出至此Vref腳位,因為當TA7291輸出為High時的電壓是以此參考電壓為標準,輸出的High端電壓等於此參考電壓Vref,如此即可以控制馬達的轉速 VHDL數位電路實習與專題設計
相關知識 • 在上圖中,OUT1及OUT2為連接至直流馬達的輸入端與,Vs為供應直流馬達的電源,Vcc為邏輯電路的電源。而TA7291的H橋構造還有一主要功能,即控制馬達的正反轉。也就是藉由TA7291的第五隻腳(IN1)及第六隻腳(IN2)的電壓準位變化,便可控制馬達的正反轉,其邏輯組合及模式如下表所示 IN1 IN2真值表 VHDL數位電路實習與專題設計
實驗功能 • 以指撥開關(DIP[7:0])來設定直流馬達轉速,且以四個彈跳開關(BTN[3:0])來選擇直流馬達驅動器的驅動模式。下表為本實驗之系統規格表 • 系統規格表 VHDL數位電路實習與專題設計
實驗電路圖 直流馬達轉速與轉向控制實習電路圖 VHDL數位電路實習與專題設計
1 ------------------------------------------------------------------- 2 --實驗名稱:直流馬達轉速與轉向控制實習 3 --檔案名稱:dcmotor.vhd 4 --功 能:以指撥開關來調整直流馬達轉速,以彈跳開關控制直流馬達轉向 5 --日 期:2003.8.8 6 ------------------------------------------------------------------- 7 library ieee; 8 use ieee.std_logic_1164.all; 9 use ieee.std_logic_arith.all; 10 use ieee.std_logic_unsigned.all; 11 12 ntity dcmotor is 13 ort( 14 clk :in std_logic; --系統頻率 15 DIP :in std_logic_vector(7 downto 0); --指撥開關輸入 16 BTN :in std_logic_vector(3 downto 0); --彈跳開關輸入 17 VREF :out std_logic; --PWM訊號 18 DIR :out std_logic_vector(1 downto 0) --TA7291模式選擇訊號 19 ); 20 end dcmotor; 21 22 architecture a of dcmotor is 23 signal B:std_logic_vector(7 downto 0); 24 begin 25 26 ----- 下數計數器 ----- 27 process(clk) 28 begin 29 if clk'event and clk='1' then 30 B <= B-1; 31 end if; 32 end process; 33 34 ----- 比較器 ----- 35 VREF <= '1' when DIP > B else '0'; 36 37 ----- TA7291模式選擇 ----- 38 process(BTN) 39 begin 40 case BTN is 41 when "1110" => DIR <= "00"; 42 when "1101" => DIR <= "01"; 43 when "1011" => DIR <= "10"; 44 when "0111" => DIR <= "11"; 45 when others => DIR <= "00"; 46 end case; 47 end process; 48 end a; 直流馬達轉速與轉向控制實習程式碼 VHDL數位電路實習與專題設計
功能模擬與CPLD下載驗證 VHDL數位電路實習與專題設計
燒錄於力浦電子FPT-3實驗板 • 直流馬達轉速與轉向控制實習腳位配置圖 VHDL數位電路實習與專題設計
燒錄於力浦電子FPT-3實驗板 • 直流馬達轉速與轉向控制實驗實體圖1 VHDL數位電路實習與專題設計
燒錄於力浦電子FPT-3實驗板 • 直流馬達轉速與轉向控制實驗實體圖2,按下SW1,為停止模式 • 直流馬達轉速與轉向控制實驗實體圖3,按下SW2,為正轉/反轉模式 VHDL數位電路實習與專題設計
燒錄於力浦電子FPT-3實驗板 • 直流馬達轉速與轉向控制實驗實體圖4,按下SW3,為反轉/正轉模式 • 直流馬達轉速與轉向控制實驗實體圖5,按下SW3,為制動模式 VHDL數位電路實習與專題設計
燒錄於力浦電子LP-2900實驗板 • 此處我們選用力浦電子LP-2900實驗板上的EPF10K10TC144-4晶片型號來編繹程式,而腳位配置如下表所示。再來將dcmotor_lp2900.sof檔下載到CPLD中做實際驗證,開啟programmer進行程式下載;下載成功後,我們可調整SW9~SW16的指撥開關來設定PWM訊號的大小,以SW1~SW4來控制馬達的轉向與動作。 VHDL數位電路實習與專題設計
DIR1 DIR0 VREF DIP0~DIP7 BTN0~BTN3 燒錄於力浦電子LP-2900實驗板 • LP-2900實驗板元件規劃 VHDL數位電路實習與專題設計
勘誤 • 7-9 表7-1 PWM實習腳位配置圖;A0~A7為輸入;pwm為輸出 • 7-18 圖7-16制動模式->停止模式 • 7-20 圖7-19停止模式->制動模式 VHDL數位電路實習與專題設計