1 / 28

Laser-produced plasma for EUV lithography

Laser-produced plasma for EUV lithography. M. S. Tillack. UCLA MAE Department Thermo/Fluids Research Seminar Series 29 June 2007. The end is in sight for semiconductor lithography based on direct laser exposure. Current technology.

zuri
Télécharger la présentation

Laser-produced plasma for EUV lithography

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Laser-produced plasma for EUV lithography M. S. Tillack UCLA MAE Department Thermo/Fluids Research Seminar Series 29 June 2007

  2. The end is in sight for semiconductor lithography based on direct laser exposure Current technology • Efforts to drop the wavelength to 157 nm ended unsuccessfully • Innovations such as immersion (high n) or phase-shift masks (interference) have pushed the limits of feature size at a given wavelength (www.intel.com/technology/silicon/lithography.htm)

  3. mask EUV source laser wafer EUV lithography has become the frontrunner “next generation” technology • Discharge (DPP) and laser (LPP) alpha tools have been built • LPP has several advantages: higher collection efficiency, more manageable thermal loads and debris, more scalable to HVM

  4. At UCSD we are developing technologies for a LPP light source using Sn targets • Why 13.5 nm? Why Sn? • Key challenges: Maximize in-band emissions, Minimize debris damage • Research at UCSD: * Low-density and mass-limited targets * Wavelength and pulse length optimization * Double-pulse irradiation * Gas and magnetic mitigation UCSD Laser Plasma & Laser-Matter Interactions Lab

  5. 13.5 nm is a large, but credible next step • Transition to reflective system results in smaller NA (0.15 vs. 1), requiring much smaller wavelength for increased resolution (NA~1/2f) • Multilayer mirrors as low as 13.5 nm are commercially available Mo/Si, 6.9 nm period http://www-cxro.lbl.gov/optical_constants/multi2.html Yulin et al, Microelectronic Engineering, vol. 83, Issue 4-9, (2006) 692-694. 32 cm diameter ~70% reflectivity

  6. Sn+8 Sn+7 Sn+6 Sn Gerry O’Sullivan, University College Dublin Sb Te I Xe The UTA of Sn is an efficient source at 13.5 nm • Light comes from transitions in Sn+6 to Sn+14, between 4p64dn and 4p54dn+1 or 4dn-1(4f,5p) • Lighting up these transitions, and only these transitions requires exquisite control of laser plasma Konstantin Koshelev, Troitsk Institute of Spectroscopy

  7. Optimum conditions for EUV light generation: … and laser heating where EUV light can escape a plasma temperature of ~35 eV, … Region of EUV emission Interferometry data 840 ns after pre-pulse Calculation of non-LTE ionization balance using Cretin Good News: These conditions can be achieved using relatively “ordinary” Joule-class pulsed (10 ns) lasers

  8. Laser plasma is notoriously difficult to control • Steep spatial gradients • Strong time dependence Hyades: double-sided illumination of foam T (eV) t (ns) ne (1020/cm3) t (ns) • Intimate dependence on temperature • Non-LTE behavior (rate-dependent atomic populations) R (cm) t (ns)

  9. … and difficult to measure • Nomarski interferometer • Transmission grating EUV spectrometer • In-band energy monitor • Faraday cup • 2 ns visible imaging • Spatially-resolved visible spectroscopy (2 ns) • EUV imaging at 13.5 nm

  10. Challenge #1: Maximize conversion of laser light to in-band EUV output Maximum in-band emission with minimum out-of-band saves $$ on the laser and cost of ownership, and reduces optic damage. Intel’s EUV MicroExposure Tool Our work: • Techniques to narrow the UTA • Optimized pulse length & wavelength • Avoidance of reabsorption Cymer’s HVM EUVL source concept

  11. Targets provided by Reny Paguio,General Atomics Low density targets produce a narrower UTA • We attempted to reduce debris by reducing the target density. • The optical depth at 13.5 nm is only ~7 nm of full density Sn. Beyond that, light is reabsorbed. • An unexpected advantage is a narrower spectrum. • 100 mg/cc RF foam • 0.1-1% solid density Sn • e.g., 0.5%Sn = Sn1.8O17.2C27H54

  12. Conversion efficiency can be optimized by choosing the laser pulse length & wavelength Conversion efficiency is higher at longer wavelengths 2 ns gives better CE, but longer pulses may be more cost-effective Laser absorption occurs at a lower density, allowing the EUV light to escape more efficiently.

  13. A spectral dip can occur due to reabsorption, degrading the conversion efficiency e.g., spot size is one of several factors that contribute to opacity control Emission spectrum CE vs. laser intensity (I=21011W/cm2 ) • CE depends on a balance between emissivity and opacity • In a smaller spot: • Lateral expansion wastes laser energy  less emissivity • Lateral expansion reduces plasma scale length  less opacity

  14. Challenge #2: Minimize optic damage from high-energy particles • Laser-produced plasma generates debris and energetic ions • Optic lifetime must be >30,000 hours • Debris can be cleaned, but… • Energetic ions damage multilayer mirrors Our work: • Gas stopping • Magnetic stopping • Gas plus magnets • Double-pulsing • Full density • Mass-limited • Mass-limited plus gas

  15. Gas stops ions, but also stops EUV photons Ion yield at 10˚, 15 cm Faraday cup vs. SRIM estimate Conversion efficiency at 45˚, 78 cm E-mon vs. attenuation calculation Calculated • Hydrogen is best, but not good enough (and nasty) • Collisionality in plumes also affects their range

  16. Magnetic diversion is partially effective, but not sufficient 5 GW/cm2 aluminum free expansion velocityv=6x106 cm/s

  17. A magnetic field produces a synergistic effect in combination with background gas Faraday cup time-of-flight measurements at 10˚, 15 cm from target photoionization peak appears when gas is present 100% dense

  18. We recently discovered a technique that dramatically reduces the ion emission energy Camera gate • Low-energy short pre-pulse forms target; main pulse interacts with pre-plasma. • Degrees of freedom to control performance. Pre-pulse: Wavelength 532, 1064 nm Pulse length 130 ps Energy 2 mJ Intensity ~1010 W/cm2 Spot size 300 µm Main pulse: Wavelength 1064 nm Pulse length 7 ns Energy 150 mJ Intensity 21011 W/cm2 Spot size 100 µm 2 mm Sn slab

  19. Ion energy was reduced by a factor of 30! Energy spectra of ions vs. time delay 5.2 keV v=L/t, E=1/2 mv2

  20. The main pulse interacts with a pre-formed gas target on a gentle density gradient Pre-plume density profile 840 ns after the pre-pulse 500 m Thermal plasma cold plume 10 ns 440 ns 130 ps pre-pulse, 2 mJ, 532 nm 840 ns 1840 ns

  21. The location of absorption of the main pulse is clearly displaced away from the surface single pulse double pulse Region of EUV generation lasers pre-plasma main plasma Nomarski interferometer

  22. CE Particle energy reduction factor At the optimum delay time, no loss of conversion efficiency is observed Delay (ns)

  23. Gas is more effective at stopping ions that already have their energy degraded 300 mTorr Hydrogen TOF spectrum 10 mTorr Argon TOF spectrum In both cases, the predicted transmission of 13.5 nm light is >95%

  24. “Mass-limited” targets should reduce the debris loading, without loss of light output • Thin films were fabricated using e-beam evaporative coating of Sn on plastic and glass • Film thicknesses from 20 to 100 nm, as well as foils from 1 to 10 µm were tested

  25. Unlike single-pulse results, ion energy was reduced using a pre-pulse on thin coatings • Acceleration with single pulse likely due to low-Z substrate • Double-pulse pump beam never reaches the substrate

  26. Pre-pulse + gas + mass-limited target could satisfy requirements of a practical EUVL source We need better diagnostics to measure vanishingly small yields MCP

  27. Acknowledgements • Contributors: Yezheng Tao, S. S. Harilal, Kevin Sequoia • Financial support: General Atomics, William J. von Liebig Foundation, Cymer Inc., LLNL and the US Department of Energy http://cer.ucsd.edu/LMI

  28. Laser-matter interactions at UC San Diego Laser plasmas: • EUV lithography • HED studies (XUV, electron transport) Relativistic laser plasma (fast ignition) Thermal, mechanical and phase change behavior Laser ablation plume dynamics, LIBS, micromachining optics damage Center for Energy Research

More Related