1 / 32

2008 ITRS Emerging Research Materials [ERM] July 16, 2008

2008 ITRS Emerging Research Materials [ERM] July 16, 2008. Michael Garner – Intel Daniel Herr – SRC. Hiro Akinaga AIST Nobuo Aoi Matsushita Koyu Asai Renesas Yuji Awano Fujitsu Daniel-Camille Bensahel STM

cullen-head
Télécharger la présentation

2008 ITRS Emerging Research Materials [ERM] July 16, 2008

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 2008 ITRSEmerging Research Materials[ERM]July 16, 2008 Michael Garner – Intel Daniel Herr –SRC

  2. HiroAkinaga AIST Nobuo Aoi Matsushita Koyu Asai Renesas Yuji Awano Fujitsu Daniel-Camille Bensahel STM Bill Bottoms Nanonexus George Bourianoff Intel Alex Bratkovski HP John Carruthers Port. State Univ. U-In Chung Samsung Hongjie Dai Stanford Univ. Jean Dijon LETI Satoshi Fujimura TOK Michael Garner Intel Joe Gordon IBM Dan Herr SRC Jim Hutchby SRC Kohei Ito Keio Univ. James Jewett Intel Ted Kamins HP Louis Lome IDA cons. Francois Martin LETI Fumihiro Matsukura Tohoku U Yoshio Nishi Stanford Yaw Obeng NIST Nachiket Raravikar Intel 2008 ERM Participants Curt Richter NIST Dave Roberts Air Products Tadashi Sakai Toshiba Mitusru Sato TOK Sadasivan Shankar Intel Atsushi Shiota JSRMicro Kaushal Singh AMAT Naoyuki Sugiyama Toray Shinichi Tagaki U of Tokyo Koki Tamura TOK Yasuhide Tomioka AIST Ken Uchida Toshiba Bert Vermiere Env. Metrol. Corp. Yasuo Wada Toyo U Vijay Wakharkar Intel Kang Wang UCLA H.S. Philip Wong Stanford University Hiroshi Yamaguchi NTT Toru Yamaguchi NTT Victor Zhirnov SRC

  3. Macromolecular Scale Devices ITRS Macromolecular Scale Devices are on the ITRS Horizon Macromolecular Scale Components: Low dimensional nanomaterials Macromolecules Directed self-assembly Complex metal oxides Hetero-structures and interfaces Spin materials Revised 2006 from: D. Herr and V. Zhirnov, Computer, IEEE, pp. 34-43 (2001).

  4. Outline • ERM Goals, Scope, Plans • ERM for extending CMOS • Alternate Channel Materials • Lithography • FEP • Interconnects • ERM for Beyond CMOS • Assembly & packaging Example • ERM Metrology & Modeling Needs • ESH • Summary

  5. Emerging Research Materials [ERM] • Goal:Identify critical ERM technical and timing requirements for ITWG identified applications • Align ERM requirements with ITWG needs • ERM with potential value to ITWG Gaps • Difficult challenges that must be overcome • Consolidate materials research requirements for: • University and government researchers • Chemists, materials scientists, etc. • Industry Researchers • Semiconductor • Chemical, material, and equipment suppliers

  6. ERM Potential ITWG Applications Potential Applications Identified

  7. 2008 Key Messages • No updates in ERM Chapter in 2008 • Preparations for 2009 ERM Chapter • Establish Critical Assessment Process • Add ERD Alternate Channel Materials • Carbon Based Devices for Beyond CMOS • Workshop Preparations

  8. Workshop Schedule

  9. Workshop Schedule (cont.)

  10. Carbon Nanotube FET Extending CMOS Alternate Channel Materials MOS Alternate Channel Materials -Ge & III-V Compounds -Nanowires -Graphene -Carbon Nanotubes Assess Materials Performance Gate materials Contacts Interfaces III-V Heterostructures (L. Samuelson, Lund Univ.) A. Geim, Manchester U. -Also Identify Novel Metrology & Modeling Needs Source Intel

  11. Alternate Channel MaterialsGe & III-V Challenge: n & p MOS devices with high performance & integration on Silicon • Deposition of III-V, Ge on Silicon • High K dielectric deposition on passivated surfaces • E-Workshop Planned July 22, 2008

  12. Carbon Based Electronics Carbon Nanotubes and Graphene Challenges: • Synthesis control on silicon in required locations • Control of electronic properties • Bandgap • Carrier type and concentration • Contact Resistance

  13. Carbon Nanotubes Challenges • Deposition in Defined Location & Direction • Synthesis with controlled bandgap • Control of carrier concentration • Gate Dielectric Deposition • Low contact resistance for small diameter CNTs

  14. Graphene Device Challenges • Growth on desired substrates • Control of bandgap (width dependent) • Graphene edge structure & passivation • Gate dielectric growth • Contact formation and resistance • Interface passivation

  15. Carbon Nanotube FET Graphene & Graphitic Carbon Quantum Dot A. Geim, Manchester U. 1D Charge State Atomically smooth III-V Heterostructures (L. Samuelson, Lund Univ.) Source Intel • Nanotube Challenges • Control of Location & • Direction • Control of Bandgap • Contact Resistance Group IV & III-V Nanowires Grow in 111 Orientation Catalyst determines location (T. Kamins, et. al., HP) Advantage: Patternable Challenge: Deposition, Edge Passivation Carrier doping & control is challenging for low dimensional materials

  16. Wire Wire Via Via Dendrimers, Frechet, UC-B ERM to Extend Moore’s Law Lithography Interconnects Front End Processes • Novel molecules • Directed Self Assembly Directed Self Assembly -Selective Deposition -Selective Etching -Deterministic Doping Nanotubes Molecular Glasses Ober, Cornell Y. Awano,Fujitsu -Nanowires -Self Assembled Materials Ross, MIT P. Nealey, U. Wisc.

  17. Emerging Lithography Applications Macromolecular Architectures Molecular Glasses and PAGS, Ober, Cornell Polymer Design, R. Allen, IBM • Resist: Unique Properties • Immersion: Low leaching and low surface energy • EUV: Low outgassing, high speed and flare tolerant • Imprint Materials • Low viscosity • Easy release • Directed Self-Assembly • Resolution, LER, density, defects, required shapes, throughput, registration and alignment Dendrimers, Frechet, UC-B 25 nm L/S Directed di-block Copolymer Self Assembly P. Nealey, U. Wisc. Sublithographic resolution and registration Ross, MIT

  18. Design Pattern Requirements forDirected Self-Assembly

  19. ERM for Front End ProcessingExample

  20. S D Emerging FEP Applications • Deterministic Doping • Selective Processes/Cleans • Macromolecules • Self-assembling materials and processes ~2014 # of channel electrons • Conductance variability reduced from 63% to 13% by controlling dopant numbers and roughly ordered arrays; • Conductance due to implant positional variability within circular implant regions of the ordered array ~13%. Intel From Shinada et. Al., “Enhancing Semiconductor Device Performance Using Ordered Dopant Arrays”, Nature, 437 (20) 1128-1131 (2005) [Waseda University] D. Herr, with data from the 2005 ITRS

  21. ERM for InterconnectsExamples

  22. Emerging Interconnect Applications Y. Awano, Fujitsu • Vias • Multi-wall CNT • Higher density • Contact Resistance • Adhesion • Interconnects • Metallic • Alignment • Contact Resistance • Dielectrics • Novel Polymer ILDs ERMs Must Have Lower Resistivity Quartz Crystal Step Alignment Cu H. Dai, Stanford Univ. Ref. 2005 ITRS, INT TWG, p. 22

  23. Beyond CMOS Materials & Interfaces Molecular State Ferroelectric Polarization Spin State • Resistance • Change • Mechanical State • Electrochemical • Atomic Switch Assess • Ferromagnetic Materials, Dilute Magnetic Semiconductors • Complex Metal Oxides • Strongly Correlated Electron State Materials (FE, FM, FE & FM) • Molecules • Interfaces & “state” transport materials FE FET • Individual or • Collective

  24. Beyond CMOS Device Applications Device RequirementEmerging Materials • Spin Ferromagnetic Materials & Oxides • Collective Effects Ferromagnetic, Strongly Correlated Electron State Materials • Molecular Molecules • Memory Complex Metal Oxides *Fuse/anti-fuse, Ferroelectric FET, etc. All Devices have critical interface requirements *Representative Device Applications

  25. Spin State Room temperature ferromagnetic semiconductors (T curie) Carrier mediated exchange • Reports of high Currie temperature FM semiconductors • GeMn Nanocolumns >400K • SiMn >400K • (InMn)P ~300K • Need verification & more study Need Room Temp FM Semiconductor

  26. Complex Metal Oxides • Complex Metal Oxides • MgO, Pb(Zr1-xTix)O3, La1-xSrxMnO3 , BiFeO3 • Memory • FeFET (Ferroelectric polarization) • Fuse-antifuse (Resistance change, etc.) • Logic • Spin Tunnel Barriers • Novel Logic Heterostructures (Coupling charge to magnetic properties & alignment) • Challenges • Control of Vacancies • Contact stability • Hydrogen degradation • Electric field & environmental stability • Control of stress & crystal structure RHEED excited Cathodoluminescence Oxygen Vacancies D. Winkler, et. al. 2005

  27. AlO2- LaO+ TiO20 SrO0 Novel Properties at Hetero-interfaces SrTiO3-LaAlO3 … … J. Mannhart et. al. 2006 Augsburg Univ. Critical thickness Hetero-interfaces may enable novel coupling of properties!!

  28. Emerging Packaging Applications Thermal Nanotubes • Package Thermo-Mechanical • Substrate: Nanoparticles, Macromolecules • Adhesives: Macromolecules, Nanoparticles • Chip Interconnect: Nanoparticles • High Density Power Delivery Capacitors • Dielectrics: High K • Self Assembly • Interconnects: Nanotubes or Nanowires

  29. Emerging Metrology and Modeling Needs • Metrology • Chemical and structural imaging and dimensional accuracy at the nm scale • Low dimensional material properties (Mapping) • Nano-interface characterization (carbon) • Simultaneous spin and electrical properties • nm scale characterization of vacancies and defects • Modeling Materials and Interfaces • Low dimensional material synthesis & properties • Spin material properties • Strongly correlated electron material properties • Long range and dynamic • Integrated models and metrology (de-convolution of nm scale metrology signals) • Metrology and modeling must be able characterize and predict performance and reliability

  30. Environment, Safety, and Health • Metrology needed to detect the presence of nanoparticles • Research needed on potential undesirable bio-interactions of nanoparticles • Need Hierarchical Risk/Hazard assessment protocol • Research, Development, Commercialization • Leverage Existing Research and Standards Activities

  31. Summary • ERM identifies materials with desirable properties that may enable potential solutions for ITWG applications • Significant challenges must be addressed for these materials to be viable for transfer to the ITWGs • Future: • Refine and update ERM requirements • Assess ERM progress toward meeting identified application requirements • Identify new ITWG application opportunities for ERM • Identify new families of Emerging Research Materials

  32. Back-up

More Related