1 / 75

Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs)

Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs). Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British Columbia. What is a Structured ASIC?. An FPGA without re programmable interconnect Interconnect is mask-programmed.

kim-ayers
Télécharger la présentation

Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs)

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Impact of Interconnect Architectureon VPSAs(Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British Columbia

  2. What is a Structured ASIC? • An FPGA without reprogrammable interconnect • Interconnect is mask-programmed Interconnect Layers Transistors

  3. What is a Structured ASIC? • An FPGA without reprogrammable interconnect • Interconnect is mask-programmed • Two types Via Programmable VPSA Interconnect Layers Transistors This Talk

  4. What is a Structured ASIC? • An FPGA without reprogrammable interconnect • Interconnect is mask-programmed • Two types Via Programmable VPSA Metal Programmable MPSA Interconnect Layers Transistors This Talk FPT 2009

  5. Key Messages 1. Structured ASICswill be the key technologyof the future. 5

  6. Key Messages 1. Structured ASICswill be the key technologyof the future. Because the key issues thatmake structured ASICs attractivehave not been solved. They are growing more prominent. 6

  7. Key Messages 1. Structured ASICswill be the key technologyof the future. Because the key issues thatmake structured ASICs attractivehave not been solved. They are growing more prominent. 2. Interconnect matters. MPSAs have better performance, VPSAs are cheaper. 7

  8. Motivation for Structured ASICs • Enormous NRE + Design costlimit access to advanced process • Many ICs are still manufactured with old process technologies • New processes (90nm and below) 49% of TSMC revenue in 2009 Q1 • FPGAs not suitable for low power, consumer-oriented,hand-held devices • SAs reduce mask cost + risk • SAs must reduce design effort • SAs make advanced processes profitable • SAs lower power, lower costthan FPGAs

  9. Talk Outline • Cost model • Experimental methodology • Metrics • CAD flow • Architecture modeling • Area, cost trends • Conclusions

  10. Talk Outline • Cost model • Experimental methodology • Metrics • CAD flow • Architecture modeling • Area, cost trends • Conclusions

  11. VPSA Die-Cost • Cost is more important than die area • Primary cost components • Die Area • Number of configurable layers (New for structured ASICs) • Secondary cost components • Die Yield • Wafer and processing cost • Volume requirements

  12. VPSA Cost Model

  13. VPSA Cost Model

  14. VPSA Cost Model

  15. VPSA Cost Model

  16. VPSA Cost Model Cbase Ccustom Cproto • Die Area and Yield: Ngdpw • Configurable layers: Nvl • Fixed layers: Nfm , Nfm , Nfm , Nfm • Mask/wafer cost: Csml,Csmu,Cwpm, Nmprl • Volume requirements: Vtot, Vc Primary Cost Variables l m v u Constants

  17. VPSA Cost Model Die Area Configurability 4503 (10mm2 die) … 450 (100mm2 die) 1 … 5 via layers

  18. VPSA Cost Model $440 $4800 $0.74 $1.08 Die Area Configurability 4503 (10mm2 die) … 450 (100mm2 die) 1 … 5 via layers • Key Assumptions • 45nm Maskset cost: $2.5M • Total volume: 2M • Per-customer volume: 100k • No. of spins: 2

  19. VPSA Cost Model • At constant cost, area can be traded for number of customizable layers VPSA

  20. VPSA Cost Model • At constant cost, area can be traded for number of customizable layers VPSA 11 mm2/layer

  21. VPSA Cost Model • At constant cost, area can be traded for number of customizable layers VPSA MPSA 11 mm2/layer 30 mm2/layer

  22. Talk Outline • Cost model • Experimental methodology • Metrics • CAD flow • Architecture modeling • Area, cost trends • Conclusions

  23. Metrics • Cost • Detailed cost model (just presented) • Area • Placement grid size after whitespace insertion • Determined by CAD flow • Delay and Power • Please see paper

  24. Talk Outline • Cost model • Experimental methodology • Metrics • CAD flow • Architecture modeling • Area, cost trends • Conclusions

  25. CAD Flow

  26. CAD Flow • Simulated annealing too slow • Uniform whitespace • distribution • Logic cells snapped to grid

  27. CAD Flow • Increase Placement Grid Size

  28. CAD Flow • Routing graph for only • single basic tile • Expand wavefront only • along the global route

  29. Talk Outline • Cost model • Experimental methodology • Metrics • CAD flow • Architecture modeling • Area, cost trends • Conclusions

  30. Routing Fabrics Crossover Fabric All wires same length!

  31. Routing Fabrics Crossover Fabric All wires same length!

  32. Routing Fabrics Crossover Fabric All wires same length!

  33. Routing Fabrics Jumper Fabric Long wires OK!

  34. Routing Fabrics Jumper Fabric Long wires OK!

  35. Routing Fabrics Jumper Fabric Long wires OK!

  36. Routing Fabric Comparison Crossover Fabric Jumper Fabric • Single via to extend • All wires same: length-1 • Two vias to extend • Short segments: 1 blocks • Long segments: 4 blocks, staggered • Two variants • Jumper20: 20% Long segments • Jumper40: 40% Long segments

  37. Logic Block Model • Characteristics of logic block • Physical dimensions(in wire pitches) • Pin locations • Do not need low-levellayout details

  38. Parameterize Logic Block • Cover wide search space for logic blocks • Vary layout density • Dense: Determined by # pins (small layout area) • Sparse: Determined by Standard Cell implementation • Vary logic capacity • Sweep number of inputs and outputs • 2-input, 1-output logic blocks (shown here) • 16-input, 8-output logic blocks (also in paper) • Use logic clustering (T-VPack) as tech-mapper

  39. Talk Outline • Cost model • Experimental methodology • Metrics • CAD flow • Architecture modeling • Area, cost trends • Conclusions

  40. Area, Cost Trends • Experimental results • MCNC benchmarks • Geometric mean over 19 large circuits • Logic block density • Dense, medium, and sparse • Logic block capacity • From 2-input, 1-output to 16-input, 8-outputs • Only 2-input, 1-output results shown here

  41. Area and Die-Cost Trends Area Cost

  42. Area and Die-Cost Trends Cost Area MPSA < Crossover < Jumper Nvl = 1 more area,needs whitespace to route

  43. Area and Die-Cost Trends Area Cost MPSA < Crossover < Jumper Nvl = 1 more area,needs whitespace to route MPSAs: more layers  higher cost VPSAs: more layers  lower cost

  44. Area and Die-Cost Trends Area Cost MPSA < Crossover < Jumper Nvl = 1 more area,needs whitespace to route MPSAs: more layers  higher cost VPSAs: more layers  lower cost

  45. Area and Die-Cost Trends • Sparse layout is better! ??? • Less whitespace needed • Need to study whitespace allocation Area Cost

  46. Delay and Power Trends Key results (in paper): MPSA is significantly better than VPSA

  47. Talk Outline • Cost model • Experimental methodology • Metrics • CAD flow • Architecture modeling • Area, cost trends • Conclusions

  48. Conclusions • Trends for VPSAs • Die-cost more important than die-area • MPSAs better in Area, Delay, and Power • VPSAs better in Cost • Interconnect Matters • Performance varies with different routing fabrics • Even significant variation among VPSA structures • Ongoing research • Interconnect architectures • Whitespace insertion algorithm

  49. Limitations • CAD framework available online http://groups.google.com/group/sasic-pr • This is early work … need improvements! • Whitespace insertion • Buffer insertion • Delay/Power of logic blocks • Power/clock network area overhead • SRAM-configurable logic blocks

  50. Key Message 1. Structured ASICswill be the key technologyof the future. Because the key issues thatmake structured ASICs attractivehave not been solved. They are growing more prominent. 2. Interconnect matters. MPSAs have better performance, VPSAs are cheaper. 50

More Related