1 / 21

Study of Floating Fill Impact on Interconnect Capacitance

Study of Floating Fill Impact on Interconnect Capacitance. Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California, San Diego. Outline. Introduction Foundations Study of Capacitance Impact of Fill Proposed Guidelines Validation of Guidelines

Rita
Télécharger la présentation

Study of Floating Fill Impact on Interconnect Capacitance

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California, San Diego

  2. Outline • Introduction • Foundations • Study of Capacitance Impact of Fill • Proposed Guidelines • Validation of Guidelines • Conclusions

  3. Introduction • Why fill is needed? • Planarity after chemical-mechanical polishing (CMP) depends on pattern • Metal fill reduces pattern density variation • Stringent planarity requirements  fill mandatory now • Impact on capacitance • Grounded fill • Increases capacitance  larger delay • Shields neighboring interconnects  reduced xtalk • Floating fill • Increases coupling capacitance  significantly more xtalk  signal integrity & delay • Increases total capacitance  larger delay

  4. Motivation • Floating-fill extraction is complex • Floating-fill capability recently added to full-chip extractors • In past large buffer distance design-rule used • Reduces coupling impact • Density constraints cannot be met  reduce buffer distance •  inaccuracy in capacitance estimation • Grounded fill used despite disadvantages (e.g., higher delay impact, routing needed) • Designers use floating fill extremely conservatively •  Better understanding of capacitance impact needed • We systematically analyze capacitance impact of fill config. parameters (e.g., fill size, fill location, interconnect width, etc.) • Propose guidelines for floating fill insertion to reduce capacitance impact

  5. Assumptions & Terminology • Same-layer analysis • Fill affects coupling of all interconnects in proximity • We study effect on coupling capacitance of same-layer interconnects  simplifies analysis • Usability not compromised because: • Coupling with same-layer neighbor large • Validation: multiple configs with different densities on different layers considered • Fill insertion between two same-layer interconnects, increases coupling significantly • Validation: fill inserted everywhere  Large fraction of coupling impact captured by same-layer analysis • Synopsys Raphael, 3D field solver, used in all experiments • Terminology • Fill and coupling interconnects are on Layer M (layer of interest) • ia and ib are interconnects of interest with coupling Cab • We study increase in coupling ΔCabdue to fill insertion • Dimensions measured in tracks (=0.3µ)

  6. Outline • Introduction • Foundations • Study of Capacitance Impact of Fill • Proposed Guidelines • Validation of Guidelines • Conclusions

  7. For ΔCab analysis, Layers M-2 and M+2 may be assumed as groundplanes Foundation 1 • Experimental Setup • Two interconnects on Layer M separated by three tracks • Fill inserted on Layer M between two interconnects • M+1/M-1 density is set to 33% • 20% , 33% , 100% metal density for Layer M+2/M-2 tried

  8. ΔCab is affected by fill geometries in the region REab only. Foundation 2 • Experimental Setup • Two interconnects on Layer M separated by three tracks • M+1 & M-1 density is set to 33% • M+2 & M-2 assumed groundplanes • Fill features inserted on Layer M at different locations

  9. Outline • Introduction • Foundations • Study of Capacitance Impact of Fill • Proposed Guidelines • Validation of Guidelines • Conclusions

  10. Fill Size • Fill length (along the interconnects) • Linear increase in ΔCab with Y-intercept • Fill width • Increases super-linearly • Using parallel-plate capacitor analogy, 1/w relation expected • Settings: • Interconnect separation = 3 tracks • Layers M-1/M+1 have 33% density • 2 track width, 1 track length Guideline: Increase fill length instead of width

  11. Interconnect Spacing • ΔCab decreases super-linearly with spacing • For larger spacings (>10 tracks), coupling with M-1 and M+1 wires more significant • Settings: • Fill size = 2 tracks x 2 tracks • Layers M-1/M+1 have 33% density Guideline: Insert fill where wire spacing is large

  12. Fill Location • Y-axis translation • Cab unaffected until fill close to an interconnect ending • X-axis translation • ΔCab increases ~linearly • Capacitance between fill & closer interconnect increases dramatically • Settings: • Wire spacing = 8 tracks • Fill size = 2 tracks wide, 4 long • Layers M-1/M+1 have 33% density Guideline: Center fill horizontally between interconnects

  13. Edge Effects • Study two cases: (1) two interconnects horizontally aligned, and (2) not horizontally aligned • With Y-axis translation of fill, edge effects observed • When fill no longer in Rab, ΔCabdramatically decreases • Settings: • Layers M-1/M+1 have 33% density • Interconnect width = 2 tracks • Fill size = 4 tracks long, 2 wide Rab Guideline: Insert fill in low-impact region (= outside Rab)

  14. Interconnect Width • Change width of one interconnect • Interconnect-fill spacing and interconnect spacing constant • ΔCabincreases rapidly, but saturates at ~ 4 tracks Guideline: Insert fill next to thinner interconnects

  15. Multiple Columns • Vertically aligned fill geometries are said to be in a fill column • Change number of fill columns in fill pattern • Fill area is kept constant • ΔCabreduces with number of fill columns • Cf. Tran. Electron Devices ’98 (MIT) • Cf. VMIC-2004 invited paper (UCSD / UCLA) Guideline: Increase number of fill columns

  16. Multiple Rows • Horizontally aligned fill geometries are said to be in a fill row • Change number of fill rows in fill pattern • Fill area is kept constant • ΔCab increases with number of fill rows • As spacing between two fill rows decreases, the ΔCab decreases Guideline: Decrease number of fill rows and inter-row spacing

  17. Outline • Introduction • Background & Terminology • Study of Capacitance Impact of Fill • Proposed Guidelines • Validation of Guidelines • Conclusions

  18. ΔC = 62% ΔC = 64% ΔC = 16% Regular Staggered With guidelines Application of Guidelines • Apply guidelines on 3 interconnect configurations • Reasonable design rules assumed • Configuration 1 • Guidelines applied • Edge effects • Maximize columns • Minimize rows • Centralize fill

  19. ΔC = 41% ΔC = 41% ΔC = 30% Guidelines on Configuration 2 • Guidelines applied • Wire width • Minimize rows

  20. ΔC = 27% ΔC = 27% ΔC = 11% Guidelines on Configuration 3 • Guidelines applied • High-impact region • Edge effects • Wire spacing • Minimize rows • Centralize fill

  21. Conclusions • Coupling with same-layer neighboring wires significant and same-layer fill insertion increases it dramatically • Systematically analyzed the impact of floating fill configurations on coupling of same-layer interconnects • Propose guidelines for floating fill insertion to reduce coupling increase • Ongoing work: • 3D extensions: Impact on coupling of different-layer interconnects • Timing- and SI-driven fill insertion methodology

More Related