1 / 28

Fast 3-D Interconnect Capacitance Extraction and Related Numerical Techniques

Fast 3-D Interconnect Capacitance Extraction and Related Numerical Techniques. Wenjian Yu EDA Lab, Dept. Computer Science & Technology, Tsinghua University Nov. 22, 2004. Outline. Background 3-D capacitance extraction with direct BEM

Télécharger la présentation

Fast 3-D Interconnect Capacitance Extraction and Related Numerical Techniques

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Fast 3-D Interconnect Capacitance Extraction and Related Numerical Techniques Wenjian Yu EDA Lab, Dept. Computer Science & Technology, Tsinghua University Nov. 22, 2004

  2. Outline • Background • 3-D capacitance extraction with direct BEM • Fast capacitance extraction with QMM acceleration and other numerical techniques • Numerical results • Conclusion

  3. Background • Parasitic extraction in SOC • Interconnect dominates circuit performance • Interconnect delay > device delay • Crosstalk, signal integrity, power, reliability • Other parasitics • Substrate coupling in mixed-signal circuit • Thermal parasitics for on-chip thermal analysis • Interconnect parasitic extraction • Resistance, Capacitance and Inductance • Becomes a necessary step for performance verification in the iterative design flow

  4. Parasitic extraction / Electromagnetic analysis Filament with uniform current Panel with uniform charge Model order reduction Thousands of R, L, C Reduced circuit From electro-magnetic analysis to circuit simulation

  5. VLSI capacitance extraction 1 2 4 3 1V 0V • Capacitance extraction • For m conductors solve mpotential problems for the conductor surface charges • Electric potential u fulfill: • Capacitance is function of wire shape, environment, distance to substrate, distance to surrounding wires • Challenges: high accuracy (3-D method), high speed, suitable for complex process C1i= -Qi(i1)

  6. VLSI capacitance extraction • 3-D methods for capacitance extraction • Finite difference / Finite element • Sparse matrix, but withlarge number of unknowns • Boundary integral formulation (BEM) • Fewer unknowns, more accurate, handle complex geometry • Two kinds: indirect BEM makes dense matrix • direct BEM has localization property • Both BEM’s need Krylov subspace iterative solverand fast algorithms (multipole acceleration, hierarchical, precorrected FFT, SVD-based, quasi-multiple medium, …)

  7. Direct BEM for Cap. Extraction conductor u is electrical potential q is normal electrical field intensity on boundary • Physical equations • Laplace equation within each subregion • Finite domain model • Bias voltages set on conductors

  8. Direct BEM for Cap. Extraction • Direct boundary element method • Green’s Identity • Freespace Green’s function as weighting function • The Laplace equation is transformed into the BIE: s is a collocation point is freespace Green’s function, or the fundamental solution of Laplace equation More details: C. A. Brebbia, The Boundary Element Method for Engineers, London: Pentech Press, 1978

  9. Direct BEM for Cap. Extraction s t j • Discretize domain boundary • Partition quadrilateral elements with constant interpolation • Non-uniform element partition • Integrals (of kernel 1/r and 1/r3) in discretized BIE: • Singular integration • Non-singular integration • Dynamic Gauss point selection • Semi-analytical approach improvescomputational speed and accuracy for near singular integration

  10. Direct BEM for Cap. Extraction , (i=1, …, M) Compatibility equations along the interface • Write the discretized BIEs as: • Non-symmetric large-scale matrix A • Use GMRES to solve the equation • Charge on conductor is the sum of q For problem involving multiple regions, matrix A exhibits sparsity!

  11. Fast algorithms - QMM Population of matrix A 3-dielectric structure QMM ! v11 u12 q21 v22 u23 q32 v33 s11 s12 s21 s22 s23 s32 s33 • Quasi-multiple medium method • In each BIE, all variables are within same dielectric region; this leads to sparsity when combining equations for multiple regions • Make fictitious cutting on the normal structure, to enlarge the matrix sparsity in the direct BEM simulation. • With iterative equation solver, sparsity brings actual benefit.

  12. Fast algorithms - QMM • Time analysis • while the iteration number dose not change a lot • Z: number of non-zeros in the final coefficient matrix A A 3-D multi-dielectric case within finite domain, applied 32 QMM cutting EnvironmentConductors z x y Master Conductor • QMM-based capacitance extraction • Make QMM cutting • Then, the new structure with manysubregions is solved with the BEM Confirmed in our later experiments

  13. Fast algorithms - QMM with minimal Z-val • Select optimal cutting pair • Empirical formula, or manually specifying • Automatic selection, make total computation achieve highest speed; make use of the linear relationship between computational time and the parameter Z Cutting pair: (3, 2) • Flowchart

  14. Fast algorithms - QMM ( Type 1) • The discretized BIE: ai So, bi ( Type 2) • Heuristic rules for set S -- candidates of (m, n) • Relatively small size for the sake of saving time • Moderate value range of m (along X-axis) and n (along Y-axis) • Range is relevant to the dimensions along X/Y-axis • Calculate the Z-value • Two types of boundary element • Nuemann: one u variable / element • Dirichlet: one q variable / element • Interface: both u and q variable / element Need not construct the actual geometry & boundary mesh !

  15. Fast algorithms - Equ. organ. Three stratified medium v11 u12 q21 v22 u23 q32 v33 s11 s12 s21 s22 s23 s32 s33 • Too many subregions produce complexity of equation organizing and storing • Bad scheme makes non-zero entries dispersed, and worsens the efficiency of matrix-vector multiplication in iterative solution • We order unknowns and collocation points correspondingly; suitable for multi-region problems with arbitrary topology • Example of matrix population 12 subregions after applying 22 QMM

  16. Fast algorithms - Preconditioning • Construct the GMRES preconditioner (matrix P ) • should has better spectrum of eigenvalues than • should be a brief approximation to • To balance the speedup of convergence and the additional consump-tion of the preconditioner (to construct it, multiple it in each iteration) • Basics of the preconditioning technique • Aim: improve the condition of the coefficient matrix,so as to obtain faster convergence rate • The right-hand preconditioning: • Suitable for GMRES a sparer one should be good !

  17. Fast algorithms - Preconditioning • A brief overview • Jacobi method (the diagonal preconditioner: diag(A)-1 ) • Mesh neighbor method: (can’t applied directly) • S.A. Vavasis, SIAM J. Matrix Anal. Appl. 1992 • K. Chen, SIAM J. Sci. Comput. 1998 • K. Chen, SIAM J. Matrix Anal. Appl. 2001 • Nearest neighbor method (in FastCap2.0) • Coupled with the multipole algorithm • Emphasis of our work • Suitable for direct boundary element method • Simpler and more efficient, since the Jacobi preconditioner has reduced the iterative number down to several tens

  18. Fast algorithms - Preconditioning l1 l2 l 3 Solve, and fillP Var. i l1 l2 l 3 Reduced equation T A P 0 = 1 i 0 • Principle of the MN method • The neighbor variables of variable i: • Solve the reduced equation , fill back to ith row of P

  19. Fast algorithms - Preconditioning 30% or more time reduction, compared with using the Jacobi preconditioner, for more than 100 structures v11 u12 q21 v22 u23 q32 v33 s11 s12 s21 s22 s23 s32 s33 • Extended Jacobi preconditioner • Singular integral is importance • Singular integrals from interface elementsare not all at the main diagonal • Except for row corresponding to interface element, solve a 22 reduced equation to involve all singular integrals • MN (n) preconditioner • n is the number of neighbor elements • Scan the ith row, use the absolute value as measure of neighborhood • When n=1, 2, performs well

  20. Fast algorithms -nearly linear m: 2~9, n: 2~6 m: 2~7, n: 2~10 • Efficient organization and solution technique ensure near linear relationship between the total computing time and non-zero matrix entries (Z-values) • For two cases from actual layout:

  21. Numerical results (1) • Experiment environment • SUN UltraSparc II processors (248 MHz) • Programs • Our QMM-BEM solver: QBEM • FastCap 2.0: FastCap(1), FastCap(2) • Raphael RC3 (3-D finite difference solver) • Test examples • kk crossovers in five layered dielectrics (k=2 to 5) • Finite domain • C1 is calculated for comparison 4 3 1 2 The 2x2 case

  22. Numerical results (2) • Computational configuration • FastCap: zeropermittivity is set to the outer-space to represent the Neumman boundary of the finite domain • Criterion: Result C1 of Raphael with 1M grids • Error formula: Compar. I

  23. Numerical results (3) Compar. II Compar. III

  24. Numerical results (4) • Our QMM-BEM solver • Panel* don’t count the panels on interfaces between fictitious media • The optimal QMM cutting pairs are (4, 4), (5, 5), (3, 3), (3, 3) respectively ; the EJ preconditioner is uesed Comparison IV. Computational details for the 44 crossover problem Tgen: time of generating the linear system Tsol: time of solving the linear system

  25. Discussion • boundary discretization • stop criterion of 10-2 in GMRES solution • similar preconditioning • almost the same iteration number • Resemblance: Contrast

  26. Conclusion • Numerical techniques in the QMM-BEM solver • Analytical / Semi-analytical integration • Quasi-multiple medium acceleration (cutting pair selection) • Equation organization of discretized direct BEM • Preconditioning on the GMRES solver • Achieve about 10x speed-up to FastCap • Related work • Use the blocked Gauss method for capacitance extraction with multiple master conductors • Handle problem with floating dummies in area filling • Apply the direct BEM to the substrate resistance extraction

  27. For more information • Wenjian Yu, Zeyi Wang and Jiangchun Gu, “Fast capacitance extraction of actual 3-D VLSI interconnects using quasi-multiple medium accelerated BEM,”IEEE Trans. Microwave Theory Tech., Jan 2003 , 51(1): 109-120 • Wenjian Yu and Zeyi Wang, “Enhanced QMM-BEM solver for 3-D multiple-dielectric capacitance extraction within the finite domain,”IEEE Trans. Microwave Theory Tech., Feb 2004, 52(2): 560-566 • Wenjian Yu, Zeyi Wang and Xianlong Hong, “Preconditioned multi-zone boundary element analysis for fast 3D electric simulation,” Engng. Anal. Bound. Elem., Sep 2004, 28(9): 1035-1044

  28. Thank you ! For more information: yu-wj@tsinghua.edu.cn

More Related