1 / 6

ECE 545 Project 2 Specification Part I

ECE 545 Project 2 Specification Part I. Task 1. Adjust your synthesizable code for Project 1 in such a way that it complies with the following requirements: a. your code implements the function of the encryption/decryption unit of RC5 without key scheduling unit, and

nasnan
Télécharger la présentation

ECE 545 Project 2 Specification Part I

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. ECE 545 Project 2Specification Part I

  2. Task 1 Adjust your synthesizable code for Project 1 in such a way that it complies with the following requirements: a. your code implements the function of the encryption/decryption unit of RC5 without key scheduling unit, and without memory of round keys. b. your code has an exact interface shown on the next slide c. your code can be synthesized using Synopsys and TSMC libraries of standard cells for at least the following two sets of RC5 parameters a. RC5 16/24/16 b. RC5 32/12/16

  3. d. your code implements basic iterative architecture of RC5 and is optimized for the maximum throughput to area ratio. RC5 circuit interface clock Encryption/decryption unit with control & i/o interface reset encrypt/decrypt data output 2w 2w data input write data available full data read round number i round key S[2i] round key S[2i+1] w log2(r+1) w

  4. Task 2 Prepare a comprehensive testbench capable of verifying the operation of your entire circuit. This testbench should read test vectors containing a. inputs b. round keys, and c. corresponding outputs from a text file. All values should be stored in the hexadecimal notation. Verify the function of your circuit using this testbench.

  5. Task 3 • Synthesize your code for the following two values of • of the RC5 parameters • a. RC5 16/24/16 • b. RC5 32/12/16, • using the following tools and libraries: • Synopsys with the 90 nm TCBN90G TSMC library • Synopsys with the 130 nm TCB013GHP TSMC library • Synplify Pro using the smallest device of the Xilinx Spartan 2 family capable of holding the larger of the two RC5 circuits. • Analyze, compare, and discuss the obtained netlists.

  6. Task 4 • For all synthesized circuits, determine • maximum clock frequency • maximum encryption/decryption throughput • area • ratio: maximum encryption/decryption throughput • divided by area. • Compare, discuss, and explain results obtained for • all analyzed cases.

More Related