1 / 31

ECE 465: Digital Systems

ECE 465: Digital Systems. Lecture Notes # 8. Sequential Circuit (Finite-State Machine) Design. SHANTANU DUTT. Department of Electrical and Computer Engineering University of Illinois, Chicago Phone: (312) 355-1314: e-mail: dutt@eecs.uic.edu URL: http://www.eecs.uic.edu/~dutt. 00,11/1.

barbaran
Télécharger la présentation

ECE 465: Digital Systems

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. ECE 465: Digital Systems Lecture Notes # 8 Sequential Circuit (Finite-State Machine) Design SHANTANU DUTT Department of Electrical and Computer Engineering University of Illinois, Chicago Phone: (312) 355-1314: e-mail: dutt@eecs.uic.edu URL: http://www.eecs.uic.edu/~dutt

  2. 00,11/1 Finite State Machines 10/0 Reset Inputs/Outputs 00,10/1 01/1 00/1 01,11/1 Transitions • A finite state machine (FSM) is comprised of: • A set V of states that are memorized information about past inputs OR timing positions (required in order to solve a certain problem). • A set E of transitions that are instances of a function Tr(V, X)  V, where X is the set of primary inputs, that “take” a state Si to a state Sj when a certain value(s) of X occurs. • An o/p function f(V, X)  Y (Mealy) or g(V)  Y (Moore), where Y is the set of o/ps • When do we need an FSM (also known as a sequential circuit) to solve a problem? • When we do not need to remember anything about past inputs, we can solve the problem using a combinational circuit • If we need to use some information about past inputs or a timing position to solve a problem, we need to remember these information (store it in memory), and we need an FSM to do so and to apply logic (combinational circuits) along with this memory to solve the problem. States represent memory of relevant features of past inputs or timing positions. States 01,1x/0

  3. x a b c d Two Different FSM Functions: Computational, Control Control Signals Control/Action FSM: Output the right control signals (like mux select, register load) at the right times to control a datapath to perform a computation (e.g., processor CU) Computational FSM: Recognizes a pattern in the input string (inputted sequentially) X (e.g. does 1101 occur in it or is # of 1’s a multiple of 3?) or performs a computation (e.g., X mod 5) on it. + (1 cc) + (1 cc) Stage 1 ldr1 ldr2 r1 r2 X (2 cc’s) Stage 2 ldr3 r3 / (3 cc’s) Stage 3 ldr4 r4 (a) Computational FSM (b) Control/Action FSM Computation needed: r4 = (a+b)(c+d)/x Datapath: Connection of functional units (adders, multipliers, comparators, etc.), memory and registers to process data

  4. Finite State Machine (FSM) Design x O/p y FSM CLK • Example : Problem Statement There is a bit-serial I/P line. Design an FSM that outputs a ‘0’ if an even # of 1’s have been received on the I/P line and the outputs a ‘1’ otherwise. • When do we need an FSM (i.e., seq ckt) to solve a problem rather than a combinational ckt? • Ans: When the problem requires the design to remember something about past inputs in order to solve the problem. • Note: FSMs are different from counters in the sense that they have external I/Ps, and state transitions are dependent on these I/Ps and the current state.

  5. Digression 1: Setup Times and Hold Time of FFs • Assume, positive edge-triggered D-FF THold relates to sensitivity period of FF (when it responds to inputs just after the +ve edge of the clock appears). D CLK TSetup relates to propagation delays of various gates in the FF. The high point of the CLK determines the positive edge’s arrival. • Need the D-input to be stable at least TSetup time before the +ve edge of the clock appears • Need the D-input to remain stable at least THold time after the +ve edge of the clock appears

  6. External I/Ps External O/Ps m1 Comb. Logic m2 FFs n n CLK Sampling instances Tlogic + Tsetup CLK x # of 1s even (0) odd (1) even (2) odd (3) odd (3) Digression 2: Input Sampling Tlogic Tsetup • If a +ve edge trigerred synchronous sequential circuit is being designed, the counting of the # of 1s (i.e., the sampling of the input(s)), for a general FSM) essentially occurs Tlogic +Tsetup time before every +ve edge.

  7. Approach to determining states of a computational FSM (including “pattern”-recognition) as opposed to an “action/control” FSM): • First determine the min # of useful information classes about past i/ps required to solve the problem (requires analytical thinking about the problem) • Each info class  a potential state. From this 1st cut at possible states, determine if there are well-defined transitions from each state for all possible i/p values. • If so then these states can be the final states; states w/o well-defined transitions need to be refined into multiple states w/ some transitions between them and others going external (to other states), to achieve well-defined transitions (see FSM word prob. 1). • In this problem, only 2 classes of information are reqd: whether an even # of 1s have been received so far, or an odd # of 1s have been received so far & there are well-defined transitions between them. Thus these 2 classes become 2 states. Solution 2: (Moore) 0 Solution 1: (Mealy) 0/0 Reset Even Reset Output [0] Even Input 1 1 1/1 O/P is dependent on current state and input in Mealy 1/0 Input Odd [1] Output Output is dependent only on current state Odd Transition Arc 0 0/1 Moore Machine: Output is associated with the state and hence appears after the state transition takes place. Mealy Machine: Output is associated with the state transition, and appears before the state transition is completed (by the next clock pulse).

  8. Determining a Reset State: • A reset state is a state the the FSM (seq ckt) should be in when it is just powered on. • In other words, a reset state is a state the FSM should be in, when it has recvd no i/ps • Based on the above definition, decide if any of the states determined so far can be a reset state (i.e., its defn. is consistent w/ not having received any i/p). E.g., in the parity detector problem, the even state qualifies to be the reset state, as in the reset state no i/ps recvd  zero 1’s recvd  even # of 1’s recvd  it can be the even state • If not, then need to have a separate reset state, and have the correct transitions from this state to the other states (depending on the problem solved by the FSM). Solution 1: (Mealy) Solution 2: (Moore) 0 0/0 Reset Reset Even Even Input Output [0] 1/1 O/P is dependent on current state and input in Mealy 1/0 1 1 Input Output Odd Odd [1] Transition Arc Output is dependent only on current state 0 0/1 Mealy Machine: Output is associated with the state transition, and appears before the state transition is completed (by the next clock pulse). Moore Machine: Output is associated with the state and hence appears after the state transition take place.

  9. External I/Ps Next State Comb. Logic External I/Ps External O/Ps m1 m1 Comb. Logic m2 FFs n n FFs Output Logic n n CLK External Outputs m2 CLK even  odd Moore Machine Model Mealy Machine Model Time t : Even state; 1 = propagation delay Tlogic(Mealy o/p) of o/p part of logic of Mealy M/C t+TCLK + TFF 2 = propagation delay Tlogic(Moore o/p) of o/p logic unit of Moore M/C t+TFF t+TCLK+TFF + 2 Tlogic(NS) + Tsetup t+TFF +1 t+TCLK t O/P=1 (Mealy) state = Even Mealy/Moore O/P=0 new x=0 state = Even Mealy/Moore O/P=0 new x=1 Moore O/P=1 state = Odd

  10. State Transition Table (Even-Parity Checker) Encoding: State Variable A, Even State: A = 0 ; Odd State: A = 1. Next State Present State Mealy O/P D-FF Excit. Moore O/P T-FF Excit. Input A x A+ y1 y2 DA TA 0 0 0 0 0 0 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 0 1 0 0 1 Q Q+ T 0 0 0 0 1 1 1 0 1 1 1 0 Q Q+ D 0 0 0 0 1 1 1 0 0 1 1 1 Input variables to comb. logic Output functions y2 x x N.S. Logic N.S. & O/P Logic DA= Ax ; TA= x y1 = A for Moore y2 = Ax for Mealy Or Q FF Q FFs DA A DA A Excitation tables for a D-FF and a T-FF O/P Logic y1 CLK Mealy and Moore Seq. Circuit Models

  11. Reset Reset Reset Reset DA= Ax ; TA= x y1 = A for Moore; y2 = Ax for Mealy Moore M/C Implementation a) D-FF b) T-FF 0 x A y2 A y1 T Q D Q x=1 CLK Q R Q R CLK Moore O/P is synchronized with clock. Mealy M/C Implementation b) T-FF a) D-FF y1 x y1 0 T Q 1 A D Q x=1 CLK Q R Q R CLK Mealy O/P is not synchronized with clock. Note: Here Moore and Mealy state transition functions are the same. This will not always be the case.

  12. Difference Between Mealy and Moore Machine Mealy Moore (1) O/Ps depend on the present O/Ps depend only on the state and present I/Ps present state (2) The O/P changes asyn Since the O/Ps change -chronously with the just after the state changes, enabling clock edge and the state change is synchronous with the enabling clock edge, O/Ps change synchronously with this clock edge (3) A counter is not a Mealy A counter is a Moore machine machine (o/ps = state bits) (4) A Mealy machine will have the same # or fewer states than a Moore machine (5) A Moore machine is easier to reason about and thus design as well as debug.But more expensive. There is no free lunch!

  13. Transformations Between Mealy and Moore FSMs A B C 00,10 11 i/ps 01 o/ps A A A B B B C C C o/ps o/ps + state min. D2 [11] D1 [00] 00,10 00,10 / 01 00,10 / 00 Mealy  Moore (extra states needed) Moore Mealy 11 11/11 11/01 01 i/ps i/ps i/ps 01/11 01/01 o/ps D D [01] D Moore Mealy Mealy  Moore (no extra states needed) • As can be seen, Mealy  Moore transformation can result in extra states: Mealy states w/ different o/ps in their input transition arcs will need to be replicated in a Moore FSM, w/ the # of replications = # of different o/ps in the input transitions associated w/ the Mealy state • However, a transformation from Moore  Mealy, will not require any extra state: Each Moore state becomes a Mealy state w/ its o/p indication moving from the state to all its input transition arcs. The Mealy m/c states can be reduced via state min. techs. as in Ex.1.

  14. Another example: A simple vending machine Here is how the control is supposed to work. The vending machine delivers a package of gum after it has received 15 cents in coins. The machine has a single coin slot that accepts nickels and dimes, one coin at a time. A mechanical sensor indicates to the control whether a dime or a nickel has been inserted into the coin slot. The controller’s output causes a single package of gum to be released down a chute to the customer. One further specification: We will design our machine so it does not give change. A customer who pays with two dimes is out 5 cents! Coin Sensor Gum Release Mechanism Vending Machine FSM Open Reset CLK Vending Machine block diagram States: 0C 5C 10C 15C

  15. Reset / 1 Reset — The figure below show the Moore and Mealy machine state transition diagrams. Reset / 0 )/0 Reset Reset )/0 Reset 0 cent 0 cent [0] Reset / 0 Reset N / 0 N D / 0 5 cent 5 cent [0] D D/1 N N / 0 D 10 cent 10 cent [0] N+D N+D/1 >=15 cent [1] >=15 cent Moore machine Mealy machine Moore and Mealy machine state diagrams for the vending machine FSM

  16. —State transition table for Moore and Mealy M/C (next state col. also gives D-FF excitation). Present State Inputs Next State Moore Output Mealy Output Q1 Q0 D N Q1+/D1 Q0+ /D0 Open Open 0 0 0 0 0 0 0 0 0 1 0 1 0 0 1 0 1 0 0 0 1 1 x x x x 0 1 0 0 0 1 0 0 0 1 1 0 0 0 1 0 1 1 0 1 1 1 x x x x 1 0 0 0 1 0 0 0 0 1 1 1 0 1 1 0 1 1 0 1 1 1 x x x x 1 1 0 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 x x x x Q+ = D Q Q+ D 0 0 0 0 1 1 1 0 0 1 1 1 Encoded vending machine state transition table. Note: Do not have to design for the reset input if FFs have a direct reset inputs. Make sure though that reset state is encoded as all 0’s if possible; otherwise need FFs w/ asynch. reset as well as set inputs

  17. Q1Q0 Q1Q0 Q1Q0 Q1Q0 00 01 11 10 00 01 11 10 00 01 11 10 00 01 11 10 DN DN DN DN 00 00 00 00 01 01 01 01 11 11 11 11 10 10 10 10 Implementation using D-FFs 0 0 1 1 0 1 1 0 0 0 1 0 0 1 1 1 1 0 1 1 0 0 1 0 x x x x x x x x x x x x 1 1 1 1 0 1 1 1 0 0 1 0 K-map for Open (Moore); redundant consideration of D,N that is automatically ignored/don’t cared in the derived expression K-map for D1 K-map for D0 D1 = Q1 + D + Q0·N 0 0 1 0 0 0 1 1 OPEN = Q1·Q0 OPEN = Q1·Q0 + D·Q0 + D·Q1 + N·Q1 Moore x x x x Mealy 0 1 1 1 K-map for Open (Mealy)

  18. Reset Reset Q1 D1 Q1 D D Q Q0 Similarly, a Mealy implementation; only the OPEN function changes. CLK Q R N OPEN N Q0 D0 Q0 D Q CLK Q1 Q R N Input transition logic cost = 17 (total gate i/ps) Q1 D Vending machine FSM implementation based on D flip-flops(Moore).

  19. Implementation using J-K FFS J-K Excitation Q1 Q0 D N Q1+ Q0+ J1 K1 J0 K0 0 0 0 0 0 0 0 x 0 x 0 1 0 1 0 x 1 x 1 0 1 0 1 x 0 x 1 1 x x x x x x 0 1 0 0 0 1 0 x x 0 0 1 1 0 1 x x 1 1 0 1 1 1 x x 0 1 1 x x x x x x 1 0 0 0 1 0 x 0 0 x 0 1 1 1 x 0 1 x 1 0 1 1 x 0 1 x 1 1 x x x x x x 1 1 0 0 1 1 x 0 x 0 0 1 1 1 x 0 x 0 1 0 1 1 x 0 x 0 1 1 x x x x x x Q Q+ J K 0 0 0 x 0 1 1 x 1 0 x 1 1 1 x 0 Remapped next-state functions for the vending machine example.

  20. Q1Q0 Q1Q0 Q1Q0 Q1Q0 00 01 11 10 00 01 11 10 00 01 11 10 00 01 11 10 DN DN DN DN 00 00 00 00 01 01 01 01 11 11 11 11 10 10 10 10 0 0 x x x x 0 0 0 1 x x x x 0 0 x x x x x x x x 1 1 x x x x 0 0 K-map for J1 K-map for K1 0 x x 0 x 0 0 x 1 x x 1 x 1 0 x x x x x x x x x 0 x x 1 x 0 0 x K-map for J0 K-map for K0 K-maps for J-K flip-flop implementation of vending machine. J1 = D + Q0·N K1 = 0

  21. Reset N Q0 Q1 J Q CLK D Q R K OPEN N Q1 Q0 D J Q CLK Q R K N Input transition logic cost = 12 (total gate i/ps), much less than using D-FFs (17) due to the numerous X’s in the excitation function of a J-K that combinational logic synthesis can use to minimize function cost J-K flip-flop implementation for the vending machine example (Moore). Similarly, a Mealy implementation; only the OPEN function changes.

  22. Basic Steps in the FSM Design Procedure (1-3 mainly for Computational FSMs) 1. Understand the problem and determine the minimal # of different information classes about past i/ps required to solve it. 2. Convert these information classes into distinct states (which we informally call tentative states), and determine the state transition diagram of the FSM. 3. If the state transitions between states are well-defined (i.e., for each state and i/p value, it is unambiguous what the next state should be), then these are the final states. • Otherwise, states from which transitions are not well defined need to be split into multiple states (called extra states) so that non-well-defined transitions are then translated to well-defined transitions between the “first” of these states and the remaining extra state(s), and also from the extra state(s) to other original states (see, e.g., FSM prob. 1 next) 4. Determine the reset state 5. Perform state minimization 6. (a) Encode states in binary [optional—perform state assignment for logic minimization] (state bits) & obtain state transition table &FF excitation for desired FF type. Or (b) Implement a one-hot style seq. ckt in which each state is represented by a unique FSM 7. Minimize the output and FF excitation input functions (using K-Maps or QM, for example) and implement the FSM using these FFs and logic gates (or MUXes, PLAs, PALs, etc.).

  23. FSM Word Problem 1: • Design a system that outputs a ‘1’ whenever it receives a multiple of 3 # of 1’s (i.e., 0, 3, 6, 9, etc. # of 1’s) on a serial input line x. — Relevant information classes needed to solve the problem: (A) A multiple of 3 # is received. (B) A non-multiple of 3 # is received. Questions to consider: (1) How do we go from (A)(B) Ans.: If a ‘1’ is received (2) How do we go from (B)(A) Ans.: Not clear. Need to split up (B) further into (B1): 3y+1 # of 1’s received. (B2): 3y+2 # of 1’s received. Where y is an integer  0.

  24. Note: (A): is 3y+0 = 3y # of 1’s received. • Now the transitions between the3 classes of information are clear: (A)  (B1)  (B2)  (A) 1 received 1 received 1 received • Hence these classes of information can be considered states of the required as states of the required FSM: These 3 states can be represented by 3y+I, i = 0,1,2 0 Output 00 0/1 Reset Reset i=0 i=0 [1] Input 0/0 1 0 1/0 i=1 1/1 01 1 i=1 [0] i=2 1/0 i=2 [0] 10 1 0/0 0 Mealy Machine Moore Machine

  25. FSM Word Problem 2: • Design a system that outputs a ‘1’ whenever it receives: (a) A multiple of 3 # of 1’s AND (b) A non-zero even # of 0’s E.g., ((0,2) , (3,2) , (3,4) , (6,2) ,···) — Relevant classes of information: Use D&C to figure this out! - Break problem into relevant classes of # of 1’s & relevant classes of # of 0’s - For # of 1’s: 3y+i, i = 0,1,2 [3 classes] - For # of 0’s: 2z+j, j = 0,1 For j = 0, we need to distinguish between zero (z = 0) and non-zero (z > 0) # of 0’s - Thus we have 3 classes: 2z+0, z = 0 ( 0 ) 2z+0, z > 0 ( non-zero even ) 2z+1 ( odd ) # of 1’s # of 0’s

  26. The relevant # of 1’s can be represented by i = { 0, 1, 2 } ( # of 1’s = 3y+i ) — The relevant # of 0’s can be represented by j= { 00 , 0>0 , 1 } ( # of 0’s = 2z+j ) where the subscript of the 0 indicates whether z=0 or z>0. — Since at any point time, a certain # of 1’s and # of 0’s will have been received, the state of the system will be given by a combination of relevant # of 1’s and # of 0’s. — There are 9 combinations: { 0, 1, 2, } X { 00, 0>0, 1 } = (0,00), (0,0>0), (0,1), (1,00), (1,0>0), (1,1), (2,00), (2,0>0), (2,1)  # of 1’s # of 0’s Cartesian Product

  27. (0,00) (0,0>0) (1,00) (0,1) (2,00) (1,1) (2,1) (1,0>0) (2,0>0)

  28. Note: 0>0 2z+j, j = 0 z > 0 Reset (0,00) 0/0 1/0 1/0 (0,0>0) (1,00) 0/0 1/0 0/0 0/1 1/0 (0,1) (2,00) (1,1) 1/0 0/0 0/0 1/0 0/0 (2,1) (1,0>0) 1/1 0/0 1/0 0/0 (2,0>0) 1/0

  29. 1 0 i=0 [1] j=0 z=0 [0] 0 1 1 0 x 1 j=1 [0] i=1 [0] 0 i=2 [0] j=0 z>0 [1] FSM2 FSM1 0 1 o/p1 o/p2 AND y • Another option to solve the previous problem is to have 2 independent FSM’s one for detecting the desired # of 1’s and another for the desired # of 0’s (2 subproblems). The o/p of the combined machine is 1 when both FSM’s are in states w/ o/p = 1 D&C for FSMs: Parallel or Interacting FSMs For # of 0’s: 2z+j, j = 0,1 For j = 0, we need to distinguish between zero (z = 0) & non-zero (z > 0) # of 0’s [3 classes]: FSM2 For # of 1’s: 3y+i, i = 0,1,2 [3 classes]: FSM1 Reset Reset • Each pair of states of the 2 FSMs  a state of the composite fsm (previous design) • Do we save on FFs here? • What about the general case (in which we divide an FSM w/ m1*m2 states (derived by considering combinations of m1 and m2 info classes for the 2 sub-problems) into 2 FSMs, one w/ m1 and the 2nd w/ m2 states? • Do we save on logic (here and in general)? Will it be faster? 1 state pair; there are 9, and each pair (S1,i, S2,j) represents that state of the entire system (the 1,2 in the state subscript represents the fsm and i, j the state within the fsm).

  30. D&C for FSMs: Parallel or Interacting FSMs (contd) • Q: When can a single FSM design be decomposed into >= 2 simpler fsm’s? • Answer: • When the compound o/p condition can be decomposed into multiple smaller independent/non-overlapping o/p conditions (as in this example). In such a case, these smaller conditions (equivalently, sub-problems) can each be determined by a simpler fsm. Each of these simpler fsm’s o/ps are used to determine the final o/p via stitch-up logic that corresponds to how the multiple smaller o/p conditions are logically composed (or “connected”) to form the original o/p condition. • Or, in general, we can use D&C to decompose the problem into smaller non-overlapping parallel sub-problems (which may not all be the same type) and stitch them up, as we saw for the D&C of combinational circuits. E.g., Problem: System needs to o/p a 1 when a multiple of 6 1’s has been seen. Can this be decomposed into smaller problems? • Or, decompose into overlapping sequential/dependent sub-problems. How to decompose the problem of detecting multiple of 9 1’s?

  31. Appendix: Detailed Mealy vs Moore Timing Diagram 0 Reset 0/0 Reset State=0 Even State=0 Even [0] 1/1 1/0 1 1 N.S. Logic x State=1 Odd State=1 Odd [1] Q D- 0 FF Q D 0/1 Moore CLK S.T. is complete. Mealy Assume single bit state information stored in a D-FF State Transition is occurring State Transition is occurring S.T. is complete. CLK x D even even even odd Q (state) odd odd y2 (Mealy O/P) y1 Moore O/P)

More Related