1 / 9

Registers

Registers. VHDL Tutorial R. E. Haskell and D. M. Hanna T2: Sequential Logic Circuits. An 8-bit register. d(7 downto 0). clr. reg. clk. load. q(7 downto 0). Register entity. Register architecture. architecture reg_arch of reg is begin process (clk, clr) begin

cardea
Télécharger la présentation

Registers

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Registers VHDL Tutorial R. E. Haskell and D. M. Hanna T2: Sequential Logic Circuits

  2. An 8-bit register d(7 downto 0) clr reg clk load q(7 downto 0)

  3. Register entity

  4. Register architecture architecture reg_arch of reg is begin process(clk, clr) begin if clr = '1' then q(i) <= "00000000"; elsif (clk'event and clk = '1') then if load = '1' then q <= d; end if; end if; end process; end reg_arch; Infers a flip-flop for all outputs (q)

  5. debounce entity entity debounce is port ( inp, clk, clr: in std_logic; outp: out std_logic ); end debounce; clk clr inp debounce outp

  6. outp inp delay1 delay2 delay3 clk debounce

  7. outp inp delay1 delay2 delay3 clk

  8. debounce architecture architecture rtl of debounce is signal delay1, delay2, delay3: std_logic; begin process(clk, clr) begin if clr = '1' then delay1 <= '0'; delay2 <= '0'; delay3 <= '0'; elsif clk'event and clk='1' then delay1 <= inp; delay2 <= delay1; delay3 <= delay2; end if; end process; outp <= delay1 and delay2 and (not delay3); end rtl;

  9. Lab Exercise T2 Debounce Simulation using Aldec Active-HDL 4.1

More Related