1 / 24

Human++ from technology to emerging health monitoring concepts

Human++ from technology to emerging health monitoring concepts. Advisor:Robert Chen-Hao Chang Student:S hiue Ru Chen Date:2010/06/04. Outline. Overview of the BAN set Different biopotential signal sensing Micro-power generation Emerging health monitoring concepts

hao
Télécharger la présentation

Human++ from technology to emerging health monitoring concepts

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Human++ from technology to emerging health monitoring concepts Advisor:Robert Chen-Hao Chang Student:Shiue Ru Chen Date:2010/06/04

  2. Outline • Overview of the BAN set • Different biopotential signal sensing • Micro-power generation • Emerging health monitoring concepts A. Wireless sleep staging B. Wireless ECG patch • Reference

  3. Overview of the BAN set up Sensor : processing the bio-signals Base station : collecting and managing the data-flow The incoming signal are amplified and filtered and the BAN is a typical star topology networks with TDMA

  4. Different biopotential signals Amplitude and frequency characteristics of different biopotential signals

  5. Sensor node • 1 EEG sensor node that can acquire, process and transmit 1 to 24 EEG signals. • 1 ECG sensor node that can acquire, process and transmit ECG signals. • 1 EMG sensor node that can acquire, process and transmit EMG signals. • 1 base-station that collects the information from the 3 sensor nodes.

  6. Functional diagram Signals are sampled at 1024Hz with a 12-bit resolution and transmitted over a wireless link operation in the 2.4GHz ISM band 1.Listen : the sensors receive their parameter from the base station 2.Processing : the biopotentical signals are monitored and processed 3.Transmit : the sensors send their data to the base station 4.Sleep : power save mode ,most of the electronics are switched off

  7. Ultra-low-power wireless The Nordic nRF24L01 achieves less than 20nJ/bit Another system can deliver a pulse rate up to 40MHz with a measured power consumption of 2mW, or 50pJ per pulse ,1nJ/bit.

  8. Ultra-low-power sensing EEG, ECG, EMG and EOG signals, presents an interesting challenge as these signals differ in amplitude and frequency characteristics

  9. UWB-IR The transmitted signal consists in pulses of short duration (~1-2 ns) that are separated by longer silent periods (~20 ns or more). Feature: 1.High speed (400 Mbps) 2.Low power 3.Wireless transformation 4.Impulse signal 5.Range of 10m 1.bandwidth larger than 500 MHz 2.Communications between 3.1GHz and 10.6GHz 3.Power spectral density(PSD)limit of -41dbm/MHz

  10. Architecture of the pulse generator It been implemented in a logic 0.18um CMOS technology And it can deliver a pulse rate up to 40MHz with a measured power consumption of 2mW, or 50pJ per pulse ,1nJ/bit. Ring oscillator has fast startuptime

  11. Example for EMG Listen and transmit mode consume about 90% of the power and the overall power less than 1mW if the measurement interval is longer than 1s

  12. Comparing (up) UWB-IR signal , there are idle time that can save power (down) narrowband radio signal , there are always active time

  13. Power breakdown for benchmarking example Active : optimizing the processing algorithm , reducing data memory sizes, decreasing processor complexity and cycle count Idle : clock gating control Leakage : using high threshold voltage cell

  14. Micro-power generation Heat flow generates a power density of about 20 A thermoelectric generator (TEG) output voltage of 0.7V at matched load Provide pulse-oximeter power At 22°C, wrist-watch type of TEG provide 0.2-0.3 mW density varying from 8to 25 micromachined thermoelectric generator, which is expected to generate up to 30 μW/cm2 at a voltage exceeding 4.0 V EEG monitoring

  15. Seebeck effect The thermal emf is not define as temperature(T1-T2) .

  16. Schematic of a thermoelectric Typically based on Bi2Te3, the pillars have a lateral size of 0.3 – 1 μm and a height of 1 – 3 μm. A TEG optimized to obtain the maximum power will have a thermal resistance of about 200 cm2K/W per cm2 of surface

  17. Performance of the watch-like TEG 1.No physical activity 2.Working in office 3.Walking man

  18. Battery-less electronics Wireless body-powered pulse oximeter , a prototype of the battery-less electronics and the application running on a laptop.

  19. Emerging health monitoring concepts A. Wireless sleep staging 2-channel EEG, 2-channel EOG and 1-channel EMG Each node achieves a power consumption of 15 mW, for a sampling rate of 200 Hz Featuring: Reconfigurable gain and bandwidth, the read-out ASIC can be used to monitor EEG, EOG and EMG

  20. Comparing a. Now in hospital b. optimized

  21. Emerging health monitoring concepts B. Wireless ECG patch Feature: A fork-antenna and a snap-on connector, for connection to one electrode Maintain the overall power consumption around 10 mW for more than 5 days autonomy with a battery of size 20x20x5

  22. Comparing a. Holter device b. optimized

  23. 3D SiP 3D SiP Wireless autonomous sensor node

  24. References 1.Julien Penders, Bert Gyselinckx, Ruud Vullers, Michael De Nil, Subbu Nimmala, Jef van de Molengraft, Firat Yazicioglu, Tom Torfs, Vladimir Leonov, Patrick Merken, Chris Van Hoof,“Human++: from technology to emerging health monitoring concepts “2008 2.Penders, J., Gyselinckx, B., Vullers, R., Rousseaux, O., Berekovic, M., De Nil, M., Van Hoof, C., Ryckaert, J.,Yazicioglu, R.F., Fiorini, P. and Leonov, V., 2007, in IFIP International Federation for Information Processing,Volume 249, VLSI-SoC: Research Trends in VLSI and Systems on Chip, eds. De Micheli, G., Mir, S., Reis, R.,(Boston: Springer), pp. 377–397

More Related