1 / 50

Modeling of CMP

Modeling of CMP. David Dornfeld CMP researchers: Jihong Choi, Sunghoon Lee, Dr. Hyoungjae Kim, Dr. Dan Echizenya Department of Mechanical Engineering University of California Berkeley CA 94720-1740 http://lma.berkeley.edu. Overview. Background on modeling Review of work to date

Télécharger la présentation

Modeling of CMP

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Modeling of CMP David Dornfeld CMP researchers: Jihong Choi, Sunghoon Lee, Dr. Hyoungjae Kim, Dr. Dan Echizenya Department of Mechanical Engineering University of California Berkeley CA 94720-1740 http://lma.berkeley.edu

  2. Overview • Background on modeling • Review of work to date • Some new developments • pattern/feature sensitivity • pad design

  3. New Book on Modeling Chemical Mechanical Planarization (CMP) “Integrated Modeling of Chemical Mechanical Planarization for Sub-Micron IC Fabrication: From Particle Scale to Feature, Die and Wafer Scales,” J. Luo and D. A. Dornfeld Written by researchers at UC-Berkeley, this monograph reviews CMP modeling literature (from Preston to present day efforts) and develops, with a strong emphasis on mechanical elements of CMP, an integrated model of CMP addressing wafer,die and particle scale mechanisms and features. Special emphasis is on abrasive sizes, distributions and resulting material removal rates and uniformity resulting over all scales. 175 Figures and 14 tables ISBN 3-540-22369-x Springer-Verlag 2004 For information: www.springeronline.com/east/3-540-22369-X. Or contact: dornfeld@berkeley.edu

  4. Chemical Mechanical Planarization Mechanical Phenomena CMP Team in FLCC Dornfeld, et al Doyle, et al Talbot, et al Chemical Phenomena Interfacial and Colloid Phenomena

  5. Mechanical particle forces Particle enhanced chemistry Material Removal Active Abrasives Pores, Walls Grooves Pad Tool mechanics, Load, Speed Chemical Reactions Mechanism Layout wafer dies critical features Scale/size nm mm µm Scale Issues in CMP From E. Hwang, 2004

  6. CMP Process Schematic F : down force Oscillation w w : wafer rotation conditioner Wafer Carrier slurry feed head Retainer ring Backing film Wafer table Wall Pore pad w p :pad rotation Pad Abrasive particle Electro plated diamond conditioner Typical pad

  7. Better planarization efficiency Better control of WIWNU Smaller WIDNU Small dishing & erosion Reducing scratch defects Reducing ‘Fang’ Reducing slurry usage Uniform pad performance thru it’s lifetime Longer pad life time Ultra low-k integration model E-CMP design goal Pad development An overview of CMP research in FLCC Cu CMP Bulk Cu CMP Barrier polishing W CMP Oxide CMP Poly-Si CMP Bulk Cu slurry Barrier slurry W slurry Oxide slurry Poly-Si slurry Abrasive type, size and concentration Doyle Dornfeld model [oxidizer], [complexing agent], [corrosion inhibitor], pH … Talbot Chemical reactions Mechanical material removal mechanism in abrasive scale Pad asperity density/shape Pad mechanical properties in abrasive scale Physical models of material removal mechanism in abrasive scale Pattern Topography MIT model Models of WIDNU Pad properties in die scale Slurry supply/ flow pattern in die scale Pad design Wafer scale pressure NU Models of WIWNU Wafer scale velocity profile Fabrication Fabrication technique Wafer bending with zone pressures Slurry supply/ flow pattern in wafer scale Test Pad groove

  8. Lap (rigid) Workpiece Carrier fluid Granule Lap } Platen Pad The 4-component system • Hypotheses: • all polishing processes can be described as a 4 component system; • Understanding the components and their interactions (pair-wise, triplets, etc) provides a structure to catalog our knowledge (and ignorance) “Granule”? Deliberately sought a word that covers the range of particles used without implying anything about size, hardness, or removal mechanism: mm to nm size range; from hard (diamond) to soft (rouge); Source: 86. Evans, J., Paul, E., Dornfeld, D., Lucca, D., Byrne, G., Tricard, M., Klocke, F., Dambon, O., and Mullany, B., “Material Removal Mechanisms in Lapping and Polishing,” STC “G” Keynote, CIRP Annals, 52, 2, 2003.

  9. Fluid-workpiece Workpiece-pad Workpiece-granule Granule-pad pad-fluid Fluid-granule Six possible pair-wise interactions

  10. Workpiece-fluid-granule Workpiece-fluid-pad Workpiece-granule-pad Fluid-pad-granule Three-way interactions (triplets)

  11. Hersey number(= ) Stribeck Curve and Characteristics of slurry film thickness Slurry Wafer Direct contact Film thickness Polishing pad Direct contact Semi-direct contact Hydroplane sliding Elasto- hydrodynamic lubrication Hydrodynamic lubrication Friction coefficient Semi-direct contact Boundary lubrication Hydroplane sliding Stribeck curve

  12. Silicon wafer Abrasive particles Polishing pad Gap effects on “mechanics” Eroded surface by chemical reaction --- softening Silicon wafer Delaminated by brushing ‘Small’ gap Abrasive particle Polishing pad Pad-based removal ‘Big’ gap Slurry-based removal

  13. Idealized CMP ‘Softened’ surface by chemical reaction Silicon wafer Abrasive particle Polishing pad Pad asperity Mechanical Aspects of the Material Removal Mechanism in Chemical Mechanical Polishing (CMP)

  14. Interactions between Input Variables Four Interactions:Wafer-Pad Interaction; Pad-Abrasive Interaction; Wafer-Slurry Chemical Interaction; Wafer-Abrasive Interaction Velocity V Vol Chemically Influenced Wafer Surface Wafer Abrasive particles on Contact area with number N Abrasive particles in Fluid(All inactive) Pad asperity Polishing pad Active abrasives on Contact area Source: J. Luo and D. Dornfeld, IEEE Trans: Semiconductor Manufacturing, 2001

  15. Framework Connecting Input Parameters with Material Removal Rate Basic Equation of Material Removal:MRR= N  Vol N Vol  g Fraction of Active Abrasives X avg-a Xavg Force F & Velocity Slurry Abrasive Weight Concentration C Active Abrasive Size Xavg-a Fraction of Active Abrasive: 1-((g-Xavg)/) where g is the minimum size of active abrasives Average Abrasive Size Xavg Wafer Hardness Hw / Slurry Chemicals & Wafer Materials Proportion of Active Abrasives Down Pressure P0 Pad Topography & Pad Material Abrasive Size Distribution 

  16. Experimental Verification of Pressure Dependence of Material Removal Rate (MRR) MRR= N Vol= K1{1-(1-K2P01/3)}P01/2. Advantage over Preston’s Eq. MRR= KePV+ MRR0: What input variables and how they influence Ke is predicable Ke1 (K1=84148, K2= 0.137) Ke2(K1=8989, K2= 0.3698) SiO2 CMP Experimental Data from Zhao and Shi, Proceedings of VMIC, 1999

  17. Abrasive Size Distribution Dependence of MRR: Particle Size Distribution [1] Five Different Kinds of Abrasive (Alumina) Size Distributions for Tungsten CMP (%) Frequency Abrasive Size X (Log Scale) 1. Bielmann et. al., Electrochem. Letter, 1999

  18. Relationship between Standard Deviation and MRR Based on Model Prediction Size influenced Std dev influenced

  19. pad pad oxide oxide Down Area 0 Pattern-Density Dependency Model MRR Up Area K/density Time K InterLevel Dielectric Case (single material) Source: MIT Same Pattern Density Different Orientations

  20. Framework of a CMP Topography Evolution Model

  21. Trench SiN SiO2 Via (a) (b) (c) (d) Fabrication steps in dual damascene process (a) deposition of SiN, SiO2 and etching trenches and vias in SiO2 (b) deposition of barrier layer (c) copper fill (d) CMP and deposition of SiN (courtesy of Serdar Aksu) Dishing and Erosion in Copper Damascene Process

  22. S Hcu Oxide Erosion e Copper Thinning Wcu H Wox Hox= Hox0 Copper Dishing d = S H= Hox Definition of Feature-Scale Topography (a) (b) (a) Feature scale topography before dielectric material is exposed and (b) feature scale topography after dielectric material is exposed

  23. Kd E E  E E1 Kf 1  2 E2 (d) (a) (b) (c) Models of Polishing Pad Linear Elastic and Linear ViscoElastic Models Separated Models of Pad Bulk and Asperities

  24. Three Stages of Wafer-Pad Contact Df S=S0 Hcu0 S1=Df1 H= Hstage1 H=Hcu0+Hox0 1 2 3 Erosion e Dishing d Hox0 Two different materials are removed simultaneously Only upper part of step is in contact Both upper and bottom parts of step is in contact

  25. Simulation Results of Step Height Evolution for Different Pattern Density Step height S (nm) Step height S (nm) Planarization time (sec) Planarization time (sec) Linear Elastic Pad Linear Viscoelastic Pad Wcu = 100 microns

  26. Copper Dishing as a Function of Pattern Density using commercial pads

  27. Copper Dishing as a Function of Selectivity

  28. Effect of Pattern Density - Planarization Length (PL) High-density region Global step Low-density region ILD Metal lines Planarization Length

  29. Effective pattern density a=320um < Test pattern > a=640um a=1280um < Post CMP film thickness prediction at die-scale > < Effective density map > Modeling of pattern density effects in CMP Planarization length (window size) effect on “Up area”

  30. Die scale modeling of topography evolution during CMP Contact wear model Initial pressure distribution MRR model Iteration with time step Topography evolution Contact wear model New pressure distribution

  31. F_tent > F_die ? F_tent < F_die ? No No Yes Yes ++Z_pad --Z_pad Z_pad Feature level interaction between pad asperities and pattern topography PAD Z(x,y) Z_pad Reference height (z=0) dz Z(x,y) z Z_pad

  32. r k2 PL k1 w 40um Pattern 40um x 40um cell Chip level interaction between pad and pattern topography MIT model : approximation of contact wear model 40um

  33. t=0 sec t=10 sec t=20 sec t=30 sec t=40 sec t=50 sec t=60 sec t=70 sec t=80 sec Simulation result 20% 33% 50% 100% 50% 33% 20%

  34. Ti Cu SiO2 Si pad rpm = wafer rpm Pattern orientation effect on on copper dishing Kinetic analysis of sliding direction during process time pad rpm < wafer rpm

  35. Pad Characterization (SEM, x150) 100µm (White light Interferometer, x200) • Ra = 12.5µm • Rz = 96.7µm • Pore diameter : 30~50 µm • Peak to Peak : 200~300µm 45µm -45µm 300µm 500µm 100µm 200~300µm

  36. Peak to Peak 200~300 µm Asperity: Real contact area 10~50 µm Pores 40~60µm 1. Reaction Region (10~15 µm) 2. Transition Region 3. Reservoir Region Simplified Pad Model Pad modeling

  37. 3 Dimensional analysis Reaction region Transition region Reservoir region

  38. 2D and 3D image of reaction region 2 dimensional image (w/o pressure) 3 dimensional image (w/o pressure) • Contact area : 10-50µm • Ratio of real contact area : 10-15% • Spherical or conical shape edge • Stress concentration when compressed

  39. 50 µm Large asperity Rounding ILD wafer 10 µm Small asperity Over polishing ILD wafer Reaction region – ILD CMP 10 – 50 µm Reaction region (asperity) Defects of a conventional pad • Over polishing on recess area • Smoothing, not planarization

  40. Reaction region – Cu CMP Stress concentration Cu-CMP defects (due to stress concentration in conventional pad) Pad asperity wafer wafer Pressure Fang Dishing Erosion Avg. contact pressure Nominal pressure wafer Position

  41. Pad degradation New In 3minutes In 7minutes In 5minutes

  42. Design rules for a pad

  43. A pad design based on the rules Channel Nano scale features 50-200µm Hard Layer (i.e. high stiffness) 50-70µm Soft Layer (i.e. low stiffness)

  44. Wafer Expectations ILD CMP Advantages Pad • Conditioning-less process • High planarity & good uniformity in ILD CMP • Without stress concentration • Less defects in Metal CMP Wafer Cu CMP Pad

  45. Design of new pads Type 1 – Without slurry guidance Type 2 – With slurry guidance 50µm Slurry flow direction 20µm

  46. 8 times more flow rate On contact area Simulation result Type 2 Type 1 • Area : 4.3^-10 m2 • Flow rate : 3.93^-11 kg/sec • Area : 4.294^-10 m2 • Flow rate : 3.24^-10 kg/sec

  47. 1. Master 2. Silicone Rubber Casting 3. Silicone Rubber Mold 4. Hard Layer Casting 5. Soft Layer Casting 6. Demolding Pad fabrication New pad

  48. 0.77µm 20% 20um/80um SiO2 1.7µm Si wafer 50% 50um/50um ILD pattern (MIT mask Version 1.0) Performance of a new pad – Planarity in ILD CMP Polishing machine Experiment condition

  49. Density 20% - under same pressure:1.6psi IC1000/SUBA400 (1.6psi) New pad (1.6psi) • Time : 40minutes • Over Polishing : 400Å • Time : 17minutes • Over Polishing : 2200Å High removal rate Good planarity

  50. Density 20% - under different pressure:1.6psi &2.7psi IC1000/SUBA400 (1.6psi) New pad (2.7psi) • Time : 17minutes • Over Polishing : 2200Å • Time : 20minutes • Over Polishing : 800Å Good planarity & removal rate

More Related