1 / 4

4-to-1 Multiplexer: case Statement

4-to-1 Multiplexer: case Statement. Discussion D7.3 Example 6. 4 x 1. MUX. c0. s1. s0. z. c1. 0 0 c0 0 1 c1 1 0 c2 1 1 c3. z. c2. c3. s1. s0. 4-to-1 Multiplexer. // Example 6: 4-to-1 MUX using case statement module mux41c ( input wire [3:0] c ,

inga
Télécharger la présentation

4-to-1 Multiplexer: case Statement

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 4-to-1 Multiplexer:case Statement Discussion D7.3 Example 6

  2. 4 x 1 MUX c0 s1 s0 z c1 0 0 c0 0 1 c1 1 0 c2 1 1 c3 z c2 c3 s1 s0 4-to-1 Multiplexer

  3. // Example 6: 4-to-1 MUX using case statement module mux41c ( inputwire [3:0] c , inputwire [1:0] s , outputreg z ); always @(*) case(s) 0: z = c[0]; 1: z = c[1]; 2: z = c[2]; 3: z = c[3]; default: z = c[0]; endcase endmodule

  4. Aldec Active-HDL Simulation

More Related