1 / 19

http://variability.org

Procedure Hopping: a Low Overhead Solution to Mitigate Variability in Shared-L1 Processor Clusters. Abbas Rahimi ‡ , Luca Benini † , and Rajesh Gupta ‡ ‡ CSE, UC San Diego † DEIS, Università di Bologna. http:// micrel.deis.unibo.it. http://variability.org . http://mesl.ucsd.edu.

ingrid
Télécharger la présentation

http://variability.org

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Procedure Hopping: a Low Overhead Solution to Mitigate Variability in Shared-L1 Processor Clusters Abbas Rahimi‡, Luca Benini†, and Rajesh Gupta‡ ‡CSE, UC San Diego †DEIS, Università di Bologna http:// micrel.deis.unibo.it http://variability.org http://mesl.ucsd.edu International Symposium on Low-Power Electronics and Design 

  2. Main Point Procedure Hoppingto Mitigate Variability

  3. Sources of Device Variation • 10% VCC, ~160˚C Temperature, 40% VTH Variations are more challenging in a many-core platform! guardband actual circuit delay Clock Other uncertainty Across-wafer Frequency Temperature VCC Droop

  4. Sources of Variations Variation-tolerant Shared-L1 Processor Cluster Process Variation → Variation-aware VDD-hopping Dynamic Voltage Variation → Procedure hopping Methodology for PLV Design time characterization Compile time PLV metadata generation Runtime preventive compensation Experimental Results Outline

  5. Each cluster consists of: 16 LEON-3 cores An intra-cluster shared-L1I$ An on-chip multi-banked tightly coupled data memory (TCDM) Two single-cycle logarithmic interconnections for both instruction and data sides A hardware synchronization handler module (SHM) to coordinate and synchronize cores for accessing shared data on TCDM. VDD-hopping per core. Shared-L1 Processor Clusters * Shared-L1 TCDM cluster template 4x8 cluster: 4 PEs and an 8-bank TCDM * D. Melpignano, L. Benini, et al., “Platform 2012, a many-core computing accelerator for embedded SoCs: performance evaluation of visual analytics applications”, DAC’12

  6.  Three cores (f4, f8, f9) cannot meet the target frequency of 830MHz. • VDD–hopping to Compensate Process Variation VDD = 0.99V VDD = 0.81V VA-VDD-Hopping=( , 0.81V 0.99V )  All cores of the same cluster meet the target frequency of 830MHz.  VA-VDD-hopping can accordingly tune the cores' voltage based on their delay reported by CPMs.

  7. VDD–hopping to Compensate Process Variation • The process variation is compensated  • but, cluster will have various Voltage/Temperature-islands! Each core increases voltage if its delay is high. • Every core have its own voltage domain • All cores work with the same frequency • VDD-hopping tunes the voltage of each core based on CMP.

  8. The IR-drop of execution of FIR on cores with various operating corners. FIR does not face any voltage emergency (IR-drop < 4%) at the corners with voltages of 0.81V-0.9V due to their lower power densities. Fast Dynamic IR-drop within Cluster

  9. Procedure hopping to Compensate Voltage Variation Each procedure hops from one core to another if it causes voltage variation. Procedure hopping facilitates fast and proactive migration of procedures within a cluster to prevent voltage variation thanks to shared I$ and TCDM resources.

  10. Sources of Variations Variation-tolerant Shared-L1 Processor Cluster Process Variation → Variation-aware VDD-hopping Dynamic Voltage Variation → Procedure hopping Methodology for PLV Design time characterization Compile time PLV metadata generation Runtime preventive compensation Experimental Results Outline

  11. Procedure-level Vulnerability (PLV) The notion of PLV to fast dynamic voltage variation is defined. The design time stage analyzes the dynamic voltage droops/rises for every ProcX under full operating conditions  generating PLVx metadata. Observe IR-drops int ProcX (…) { … } (Vi,Tj) Corei

  12. Characterization of PLV to IR-drop: Compile time + Runtime At compile time, PLVx metadata of ProcX is attached to the procedure. During runtime, the discretized (V,T) point to the corresponding characterized PLVmetadata to assess the vulnerability of ProcX at the current (V,T). If PLVx ≥ PLV_threshold, the ProcX will be hopped from caller core to a favor callee core.

  13. Sources of Variations Variation-tolerant Shared-L1 Processor Cluster Process Variation → Variation-aware VDD-hopping Dynamic Voltage Variation → Procedure hopping Methodology of PLV Design time characterization Compile time PLV metadata generation Runtime preventive compensation Experimental Results Outline

  14. Max Voltage Variation Across Corners and Procedures Most of procedures running at cores with 0.99V have voltage emergencies. At 0.9V, only four procedures (IFFT, IDCT, matrix, ttsprk) face the voltage emergencies. No voltage emergency at 0.81V. Max voltage droop (%) • Procedure hopping avoids the voltage emergency for all procedures by hopping them form a high-voltage core to a low-voltage core.

  15. Cost of Procedure Hopping The total roundtrip overhead of the hopping a procedure from the caller core and returning the results from the callee core is less than 800 cycles. This overhead is less than 1% of the total cycles needed to execute any of the characterized procedures in EEMBC benchmark. During the procedure hopping no voltage emergency can occur even at (0.99V,125˚C), neither in the caller nor the callee core.

  16. Conclusion The notion of procedure-level vulnerability to fast dynamic voltage variation is defined. Based on PLV metadata, a fully-software low-cost procedure hopping technique is proposed which guarantees the voltage emergency-free migration of all procedures, fast and proactively enough within a shared-L1 processor cluster. Full post-P&R results in 45nm TSMC technology confirms that the procedure hopping avoids the voltage emergency across a variability-affected cluster, while imposing only an amortized cost of less than 1% latency for any of the characterized embedded procedures.

  17. Thank you! • Acknowledgment • NSF Variability Expedition • ERC Multitherman Project http://variability.org

  18. HW/SW Collaborative Architecture to Support Intra-cluster Procedure Hopping The code is easily accessible via the shared-L1 I$. The data and parameters are passed through the shared stack in TCDM. A procedure hopping information table (PHIT) keeps the status for a migrated procedure.

  19. Intra-procedure Peak Power Variation Maximum of 1.28× intra-corner peak power variation occurs between IFFT and tblook procedures at (0.81V,125C). Maximum inter-corner peak power variation is 3.5× for FIR. Maximum of 4.1× peak power variation across corners and procedures, a2time at (0.81V,-40C), and IFFT at (0.99V,125C).

More Related