1 / 34

Automatically Exploring Structural Symmetry in Symbolic Trajectory Evaluation

Automatically Exploring Structural Symmetry in Symbolic Trajectory Evaluation. Yongjian Li The State Key Laboratory of Computer Science Chinese Academy of Sciences William N. N. Hung Synopsys Inc. Xiaoyu Song Portland State University

nash
Télécharger la présentation

Automatically Exploring Structural Symmetry in Symbolic Trajectory Evaluation

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Automatically Exploring Structural Symmetry in Symbolic Trajectory Evaluation Yongjian Li The State Key Laboratory of Computer Science Chinese Academy of Sciences William N. N. Hung Synopsys Inc. Xiaoyu Song Portland State University Presented by Yongjian Li

  2. Outline • Introduction • A formal netlist model • Syntax and semantics of trajectory logic • Symmetry reduction • Applying symmetry reduction automatically • Case study on CAMs • Conclusion and future work

  3. STE overview • X value + symbolic simulation • Provides a theoretical foundation for symbolic evaluation of partially ordered state space • Used in Intel, Motorola etc • Directly using EXLIF netlist as circuit model • Specification is as • Impoverished temporal logical specification • Poweful capacity • Sucesfullyused for data-dominated circuits

  4. Related work • Classical semantic work in STE literature (Carl Seger et al., 1995; Mark D. Aagaard et al., 2002. ) • usually assuming a next state function Y • core techniques: symbolic indexing and parametric representation • combining with theorem proving • rather cumbersome to reason about combinational parts of a circuit • A closure semantics on a netlist model(Roorda and Classen, 2005,2006) • a closure function from the structure of a netlist, which can be seen as a special next state function • convenient in reasoning about combinational parts • sat-based refinement

  5. Related work(cont.) • Symmetry reduction in STE (Pandey 1997 ) • use sub-graph isomorphism • detecting symmetry manually • did not answer why symmetry in circuit netlist structure implies symmetry in next state function • Symmetry reduction in STE (Darbari 2006) • propose a structured model -- a high level modeling language recording the symmetry of a circuit • make a connection from the model to STE : proving the symmetry in the structured model derives symmetry in the corresponding next-state function

  6. Our contribution • A formal BLIF netlist model in Isabelle • Formally define the structural symmetry • A soundness theorem guaranteeing the correctness of symmetry reduction • Applying symmetry reduction automatically as a tactic in Forte

  7. A formal netlist model

  8. Next state function Given a netlistnl, a next state function Y can be formally induced, which is a closure function, i.e., • Y is monotonic . • Y is idempotent. • Y is extensive. (See Rooda et al, 2005 and Li et al, 2009 for the detail) Y: a next state function Y s c’ = s (a) & s( b ) …. nl: a netlist g1: gate c a b tab1 L2: Delay c’ c ….

  9. Syntax and Semantics of trajectory formula

  10. Syntax and Semantics of trajectoty assertion

  11. Causal Subnetlist on an Assertion

  12. Example

  13. Evaluating an assertion in its causal subnetlist

  14. Symmetric structures

  15. Motivating Example nl0 nl1

  16. Symmetry properties

  17. Symmetry reduction

  18. Motivating Example

  19. Substitutions on Trajectory Formulas In forte, sometimes we need do substitution on Boolean guards in STE assertion in some context. After a substitution {ba1/ba0,bb0/bb1}

  20. Applying Symmetry Reduction Automatically--problem Have a lemma: Try to prove another lemma:

  21. Overall strategy

  22. Implementation

  23. Codes –main body

  24. Codes (1) –matching formulas

  25. Codes (2) –Computing symmetry

  26. Codes (2) –Computing symmetry

  27. Case study on CAMs

  28. Case study on CAMs A fully-encoding style specification:

  29. Symmetry Reduction in CAMs Reduced to Reduced to

  30. Discussion • For n-t-d CAMs (n – entries, t- tag width, d- data width) in full encoding style • Need theorem proving techniques to make assertions can be applied by symmetry reduction • Structure symmetry between two bits of a bit-vector such as match, dout in CAMS

  31. Discussion (continued) • Exploring structure symmetries needs time especially, when the properties checked involves many Next operators • Compared and related with symbolic indexing • Need human guidance to make assertions to be applied by symmetry reduction in ours, then can be done automatically • Need human guidance to make an index symbolic indexing assertion, then fully automatic ally checked by running STE • One indexing case is symmetric to each other

  32. Conclusion and future work • Theoretical result of symmetry reduction • Formalize the correspondence between structure symmetry and property symmetry • reformulated in a netlist based closure semantics framework • Automatic symmetry reduction • works on a netlist model, automatically checking structure symmetry online • use heuristics inherited in verification problem itself

  33. Conclusion and future work • Link Isabelle with Forte? • take both the advantage of Isabelle' strong theorem proving and Forte's symbolic simulation features • difficulty: interface between each other • Need more detail of Forte's document (but Forte can not be downloaded now!)

  34. Thank You ! Question & Answer

More Related