1 / 72

Contact Info.

Contact Info. Name: Wing Leong, Chung ( Z hong Rongliang, 锺荣亮 ) Email: mfcchung@ust.hk Tel: 2358 7211 Rm: 2130A. Examination. Time 120 mins Open Book Passing Marks: 2 Qualify Question Part I 25 mc 60% (Safety) Part II 25 mc 60% (Operation)

okalani
Télécharger la présentation

Contact Info.

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Contact Info. • Name: Wing Leong, Chung (Zhong Rongliang, 锺荣亮) • Email: mfcchung@ust.hk • Tel: 2358 7211 • Rm: 2130A

  2. Examination • Time 120 mins • Open Book • Passing Marks: • 2 Qualify Question • Part I 25 mc 60% (Safety) • Part II 25 mc 60% (Operation) • Part III 2 PF 60% (Process Flow) • 5 mistakes / PF

  3. NFF Operation Training Introduction 概引

  4. Introduction 概引 • Contamination and Control 污染與管制 • Process Flow 工藝流程 • Do’s and Don’t’s 規矩 • Discussion on Exam Questions 考題討論 • NFF Tour 參觀實驗所

  5. NFF Operation Training Contamination and Control 污染與管制

  6. Sub-micron SOI Display Technologies MEMS Integrate Power System Advanced Packaging Program Advanced Process Module Developing Sensor Technology Gene Chip Compound Semiconductor Technology Novel Magnetic – electronic devices 亞微米SOI 顯示技術 微機電系統 集成功率系統 先進封裝程序 先進工藝模塊發展 傳感器技術 基因晶片 化合物半導體技術 新微電器件 Research in NFF微電子實驗所的科研項目

  7. Contamination Process Verification Scheme Cleanliness Levels Process Compatibility Wafer Status General Processing Requirements of Individual Modules 污染 工藝驗証指引 潔淨級別 工藝兼容性 晶片潔淨指數 個別模組的要求 Outline

  8. Contamination is the intrusion of impurities into devices that leads to their failure Examples: Degradation of Oxide Integrity Threshold Voltage Shift Leakage 污染:器件因雜質而導致功能失效 例如: 氧化層失效 國值電壓漂移 漏電 What is contamination 何謂污染

  9. Knock-on Effect Contaminants Furnace A Cleaning Bath Furnace B Etcher A Bath for Resist Strip Tools e.g. Cassette Bath for Resist Strip

  10. It’s like disease, easy to spread across the laboratory It’s hard to be stopped once caused Any contamination you cause ruins others’ years of efforts You are the only one who can help us stop it from spreading 有如瘟疫一般,易於傳播到實驗的每個角落 一旦發生,難以制止 因你做成的污染,可把別人多的努力毀於一旦 可以幫助我們阻止污染傳播開去的,只有你! Why contamination matters to you?污染與我可干?

  11. Metallic Contaminants Ions of heavy and transition metals (Au, Ag, Pt, Ni) Ions of standard Metal (Al, Ti) Alkali Ions (K, Na) Orgnaic Photoresist and Polymers Body Oil Particles Carbon Metal pieces from lifted-off wafers You name it 金屬污染物 重金屬和過渡金屬(金、銀、白金、鎳) 的離子 常規金屬的離子(鋁、鈦) 鹼性離子(鉀、鈉) 有機污染物 光刻膠和聚合物 體液 微粒 炭 剝離了的金屬碎片 不能盡錄 3 Commonest CMOS KillersCMOS三煞

  12. NFF Policy and Rules Process Verification Scheme Processing Requirements of individual modules Your awareness and observance 政策與規則 工藝驗証指引 個別模組要求的工藝規格 你對污染的關注與規則的尊從 Contamination Control污染管制

  13. All Users General Cleanroom Rules and Regulations Non-CMOS Users Process Flow Satisfactory Completion of Training Courses CMOS Users Non-CMOS Users’ requirement ELEC508 or Equivalent 所有實驗所的使用者 一般無塵房的規則 Non-CMOS使用者 工藝流程 訓練合格 CMOS使用者 符合Non-CMOS使用者的要求 完成ELEC508或同等經驗 NFF Policy and Rules政策與規則

  14. Every thing in NFF Chemicals Materials Wafers Equipment and Machines Classified by Contamination Risks Process Compatibility 7 equipment Combination 4 Wafer Statuses 所有在實驗內的東西 藥品 物料 晶片 機台 按以下因素分類 污染風險 工藝兼容性 7種機台的組合 4個晶片潔淨指數 Process Verification Scheme 工藝驗証指引

  15. What’s Risk Low Risk Si Wafer Al Al << + Na + Au Au + << High Risk

  16. Clean (Lowest) No contaminants Semi-Clean (Medium) Ions of standard materials such as Al, Cr, and Ti Non-Standard (Highest) Ions of gold, copper, GaAs, Ga Nitride, K, Na and materials/chemicals not yet classified 潔淨(最低風險) 無污染物 半潔淨(中度風險) 常規金屬如鋁、鉻和鈦的離子 非常規(最高風險) 非常規物質如金、銅、砷化鎵、氮化鎵、鉀、鈉和未分曾分類的物料或藥品的離子 Contamination Risks and 3 Cleanliness Levels污染風險與三個潔淨級別

  17. CMOS Compatible Front End Processes of ELEC508, PMOS, NMOS, and SOI Non-CMOS Compatible MEMS CMOS back-end process You Name it CMOS兼容 ELEC508、PMOS、NMOS和SOI的前工藝 非CMOS兼容 微機電系統 CMOS的後工藝 不能盡錄 Process Compatibility工藝兼容性

  18. 3 Cleanliness + 2 Compatibilities = 4 Wafer Statuses 三個潔淨級別+ 兩種工藝兼容性= 4種晶片潔淨指數 + 7 Combinations + 7個組合 Clean 潔淨 Semi-Clean 半潔淨 Non-Standard 非常規 Clean CMOS CMOS Both 兼用 Clean CMOS/Non-CMOS Clean/Semi-clean CMOS/Non-CMOS Clean Non-CMOS Semi-clean Non-CMOS Non-Standard Non-CMOS Non-CMOS Semi-clean/Non-Standard Non-CMOS

  19. Downward Compatible CMOS -> Non-CMOS Clean -> Semi-clean or Non-standard Semi-clean -> Non-standard But not the Reverse Non-standard -> Semi-clean -> Clean Once contaminated always contaminated Exceptions: Decontaminated MILC Wafers Decontaminated wafers after Post CMP Grinding Cleaning 向下兼容 CMOS -> Non-CMOS 潔淨-> 半潔淨或非常規 半潔淨-> 非常規 不准掉頭 非常規-> 半潔淨-> 潔淨 一被污染,永遠污染 例外情況: 經過除污的金屬誘導橫向晶體化的晶片 經過除污的化學機械拋光晶片 Mechanism of PV Scheme工藝驗証指引的機制

  20. Wafer Status after decontamination Clean Non-CMOS Not for: Non-standard Wafers Almost all Semi-Clean Wafers Not necessary for Non-CMOS users 除污後的晶片潔淨指數 潔淨NON-CMOS 不合於: 非常規晶片 大部份半潔淨晶片 NON-CMOS使用者一般不須用此工藝 Decontamination除污工藝

  21. Wetstations Oxidation, Diffusion and CVD Implantation Dry Etching Sputtering Photolithography 濕化工藝台 氧化、擴散與化學汽相澱積 離子注入 乾化蝕刻 濺射 光刻 Processing Requirements of individual processes個別模組要求的工藝規格

  22. General Safety No chemicals bottle left on the floor Wafers have to be dump-rinsed in the dump-rinser for at least 4 cycles immediately after any chemical processes No organic solvent (IPA, Acetone) HF Highly hazardous Chemical Solutions (HF, BOE, 777 and Freckle etch) Concentration below 20% is more insidious and symptoms may be delayed for up to 24 hours Use of Chemical Unconventional use of chemical requires permission and booking. Mixing own chemicals is only allowed at semi-clean or non-standard wetstation Disposal HF, Freckle Etch and BOE are needed to be drained into the HF tank by NFF staff. Acid and Base are needed to be drained by users themselves with an aspirator Contamination No Glass, Metals and Manual coated wafers at CLEAN wetstations No Metals at the sulfuric acid bath for resist stripping Separation of cassettes, tools containers and gloves from those of different stations No lifted-off wafers put in baths No mess left at wetstations Wetstations濕化工藝台

  23. Layout of Wetstations濕化台的分佈

  24. Labels of Wet-stations每個濕化台所用的記號 Lowest A:CMOS Cleaning Station B:Non-CMOS Cleaning Station C:Oxide and nitride etch G:TMAH Etching Station E:Semi-clean Non-metal Processing Station Risks of Contamination D:Standard metal processing Station Y:Semi-clean Organic Stripper Z:Semi-clean Develop Station Z2:Semi-clean/Non-standard Dump Rinser F:Non-standard Processing Station J:ASTRI’s Station (for ASTRI only) Highest

  25. To find which baths you are allowed to use, look at the process history Clean Wet-station X, G, C and A Wet-station X, G, C and B Downward Compatible Once contaminated by semi-clean group cannot pass this line B3:Decontamination Yes No CMOS? “Decontamina -table” Wet-stations D, E Yes No Semi Clean No Downward Compatible Yes Wet-stations D, E Std Metals e.g. Al/Cr/ Ti Ti-Silicide? Wet-Station D Yes No Once contaminated by Non standard group (except Z2) cannot pass this line No Dump Rinsers of Wet-station F Bath Z2 Wet-station F Bath Z2 Liftoff? Non-Std Chemicals / Materials Yes No Yes Non- Standard Start Summary of Wetstation Arrangement濕化台的調度

  26. Wafer Substrates Thickness Full Wafers Preferred Appropriate Cleaning Processes No Photoresist No organic No metals for most furnaces No wafers of uncertain background 晶片材料 厚度 完整一片的晶片較合 用適當的工藝清洗晶片 不許有光刻膠 不許有有機物 大部份爐都不可入金屬 不許有來歷不明的晶片 Oxidation, Diffusion and CVD氧化、擴散與化學汽相澱積

  27. Full wafers without chips and cracks Spices (B, BF2, As, P, H) Dosage High Temperature Photoresist Wafers with no resist on the rim and back side Implantation離子注入

  28. Materials to etch (Oxide, Nitride, Si, Poly, some metal, compound Semiconductor) Thickness to etch Full Wafers Preferred Single Side Photoresist Coating No wafers with edges wrapped with photoresist. Dry Etching乾化蝕刻

  29. Limited Target Materials Thickness < 5000A Full Wafers Preferred No photoresist nor broken wafers on Semi-clean Sputterers Lift-off wafer in Non-Std sputterers only 濺射靶的材料是有限制的 一般厚度不多於5000A 完整晶片較合 Sputtering濺射

  30. Contamination caused by photoresist Inhalation of Organic Vapors and Solvent (HMDS, Photoresist) No acid nor base in Yellow Room Coater and developer Tracks not for double-side photoresist coating, and negative photoresist Disposal of Waste (IPA, Acetone) Pour the waste into waste collection bottles Don’t use an aspirator to suck it into the N-tank Be careful of EKC, which is a highly corrosive solvent Containers used for developing or any process require booking on the computer system. Transparency only allow on AB-M 2 Photolithography光刻

  31. Contamination arising from Integration • Inter-level Contamination • Caused by contaminants from incompatible cleanliness groups • E.g. Non-standard group contaminates Semi-clean group • Intra-level Contamination • Caused by contaminants from the same cleanliness level • Heavily Doped Photoresist/PSG Vs some CLEAN equipment • Cr/Al/ITO vs Some SEMI-CLEAN equipment • PCB boards vs Non-Standard Sputterers • Extra-level Contamination • Caused by contamination sources other than the above • E.g. Photoresist, Particles, Body Oils, to name but a few

  32. NFF Operation Training Process Flow 工藝流程

  33. Aim Standard Format Common Mistakes Process Guidelines Outline

  34. Functions: Help you to plan ahead Track down wafer movement Reduce uncertainty keep the unqualified away from NFF Aim: Control Contamination Aim of Process Flows

  35. Sample Process Flow

  36. Heading Cross-sections Wafer Status Step no. Equipment Cleanliness Compatibility Processes Requirements Standard Format

  37. Mistake 1 - Oversimplification

  38. Mistake 2 – Wrong Initial Wafer Status

  39. Mistake 3 – Incompatible equipment Status

  40. Mistake 4 - Double-side PR Coating

  41. Mistake 5 – Wrong PR Stripping

  42. Mistake 6 - Misuse of low stress nitride as oxidation masks Mistake 7 – Misuse of Decontamination Mistake 8 – Wafer Edge Coating Mistake 9 – missing backside rinsing prior to implantation Other Mistakes

  43. 7 Cleanings 4 Resist Stripping Post-Deep Si Etch Polymer Removal 3 Etchings to Etch Through Wafers Liftoff Non-standard Metal (Gold) Process Guidelines

  44. Sulfuric Clean (CMOS) RCA2(Decontamination) Pre-diffusion Clean (CMOS) Pre-deposition Clean(CMOS) Sulfuric Clean (Non-CMOS) Post-Metallization Clean Mask Cleaning Cleanings

  45. Sulfuric Clean (CMOS) • CMOS Only • No photoresist, metals nor silicides • A1:H2SO4:H2O2 + A2:HF:H2O 1:50 • Removing organics and gross contaminants e.g. scribe dust. • H2SO4– reduces organics to carbon • H2O2– oxidizes carbon to form CO2

  46. RCA2(Decontamination) • CLEAN or Decontaminatable Wafers • No photoresist, metals • B3:H2O:H2O2:HCl at 70 ºC (fresh) • Drain after use • Removes metallic contaminants and alkali ions • HCl – reacts with most metals to form soluble chlorides • H2O2– acts as a buffer/oxidant

  47. Pre-diffusion Clean (CMOS) • CMOS Only • No photoresist, metals nor silicides • Process: • A3:H2SO4:H2O2 – cleans organics • Dump rinse • A2:HF:H2O (1:50) • Dump rinse • Spin Dry • Prior to the growth of high quality oxide

  48. Pre-deposition clean (CMOS) • CMOS only • No photoresist, metals nor silicides • Process: • A1:Sulfuric Clean • Dump rinse • A2:HF:H2O (1:50) cleans native oxide • Prior to deposition

  49. Sulfuric Clean (Non-CMOS) • Clean MEMS only • No photoresist, metals nor silicides • B1:H2SO4:H2O2 + B2:HF:H2O 1:50 • Removing organics and gross contaminants e.g. scribe dust. • H2SO4– reduces organics to carbon • H2O2– oxidizes carbon to form CO2

  50. Post-Metal Clean • Semi-clean • No non-standard materials esp. Gold, nor samples undergone liftoff • Process: - Clean wafers with Fresh MS2001 in Bath Y2 (Optional) • Spin wafers Dry with Spin-dryer D

More Related