1 / 8

Experiment 9

Experiment 9. Digital Alarm System. Experiment 8: Random Notes. Timing diagrams need to be annotated it’s not the reader’s job to figure out what is going on or what is important Don’t forget circuit diagrams circuits you designed/experimented with answers to questions

saniya
Télécharger la présentation

Experiment 9

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Experiment 9 Digital Alarm System

  2. Experiment 8: Random Notes • Timing diagrams need to be annotated • it’s not the reader’s job to figure out what is going on or what is important • Don’t forget circuit diagrams • circuits you designed/experimented with • answers to questions • Take more space: use blank lines in code and in experiment write-up

  3. Instructional Objectives: • Using VHDL behavioral modeling in the design of a Finite State Machine (FSM) • To combine previously designed VHDL modules into a complete digital alarm system using structural modeling

  4. Finite State Machine • A Finite State Machine (FSM) is a digital circuit whose state changes based on both the current state (of the FSM) and the current inputs • The outputs of a FSM are functions of the current state (Moore Model) --or-- functions current state and current inputs (Mealy Model) • Synchronous FSMs change their state with respect to a clock input and maintain their state (store their state) in flip-flops

  5. FSM Models Standard Architecture VHDL Behavioral Model

  6. VHDL Behavioral Models for FSM VHDL Dependent PS/NS Architecture: Y’s External Control (CLR, En, PRE) • State Memory • Clock Edge • Synchronization • Ext. Controls • (clr, en, preset) • Next State Sequencing • Output Decoder Z’s X’s Ref: Low-Carb VHDL Tutorial CPE 169 Experiment 9 • Dependent PS / NS Coding Style: (2 Processes) • Synchronous Process • Clocking / Control (clears, enables, presets) • Combinatorial Process • Next State Sequencing • Output Decoding Logic

  7. Experiment 9 Overview P1: Finite State Machine Design • Using Your State Transition Diagram & PS/NS Table • Using the “Dependent PS/NS” VHDL Architecture • Simulate to Verify (Test Cases?) P2: Digital Alarm System • Integrate earlier modules into a working system • Verify the complete system (instructor signoff) • Detailed schematic!!

More Related