1 / 30

CPLD 數位邏輯設計

CPLD 數位邏輯設計. 苗栗農工電機科. 現有環境 :. 軟體 :ALTERA 公司 Quartus II 7.2 版系統發展軟體. 硬體 :ATMEL 公司 ATF1508 晶片. 操作架構 :. ALTERA. ATMEL. Quartus 軟體. ATMEL 轉檔程式. 設計. 轉成* .jed 檔. 模擬. ATMEL 燒錄程式. 編譯. 將* .jed 燒錄至 AFT1508 硬體. 產生* .pof. 燒錄檔. 設計 :. 1. 開啟 Quartus 軟體. 2. 開新檔. 設計 :.

thi
Télécharger la présentation

CPLD 數位邏輯設計

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. CPLD數位邏輯設計 苗栗農工電機科

  2. 現有環境: 軟體:ALTERA公司 Quartus II 7.2版系統發展軟體 硬體:ATMEL公司 ATF1508晶片

  3. 操作架構: ALTERA ATMEL Quartus軟體 ATMEL轉檔程式 設計 轉成*.jed檔 模擬 ATMEL燒錄程式 編譯 將*.jed燒錄至AFT1508硬體 產生*.pof 燒錄檔

  4. 設計: 1.開啟Quartus軟體 2.開新檔

  5. 設計: 1.選取圖形編輯法(*.bdf) 2.按ok

  6. 設計: 1.出現圖形編輯區(Block1.bdf) 2.先存檔

  7. 設計: 2.建立adder目錄,並進入 5.建立專案 1.進入work目錄 3.檔名設成main.bdf 4.按儲存

  8. 設計: 1.next

  9. 設計: 1.選取MAX7000S系列晶片 2.選取EPM7128SLC84-15編號IC 3.next ALTERA的EPM7128SLC84-15與ATMEL的ATF1508相容

  10. 設計: 2.finish 1.next

  11. 設計: 1.主電路圖編輯區(main.bdf) 3.子電路編輯區(ha.bdf) 2.再新增一個ha.bdf並儲存至同一專案,以製作半加器電路 4.開始圖形編輯電路圖,在ha.bdf空白區double click

  12. 設計: 2.出現該元符號 1.鍵入所需元件名稱 3.按ok 元件名稱:tff,jkff,and2,or3,7447,74138,input,output……

  13. 設計: 1.圖形編輯視窗中出現該元件,移至適當位置後,click固定 2.依此類推,再增加一個xor,二個input及二個output

  14. 設計: 1.以滑鼠完成半加器的電路連線 2.更改輸入及輸出的接腳名稱(A,B,S,C)

  15. 設計: 1.將完成的半加器製作成模組化的元件 3.按確定 2.儲存成ha.bsf(預設)

  16. 設計: 1.再新增一個fa.bdf並儲存至同一專案,以製作全加器電路 4.出現元件符號 2.開始圖形編輯電路圖,在fa.bdf空白區double click 3.輸入剛完成的半加器(ha) 5.按ok

  17. 設計: 1.在fa.bdf中加入二個ha,一個or2,三個input及二個output 2.依全加器電路完成接線 3.更改輸入及輸出的接腳名稱(A,B,CI,S,CO)

  18. 設計: 1.將完成的全加器製作成模組化的元件 3.按確定 2.儲存成fa.bsf(預設)

  19. 設計: 1.回到main.bdf頁面 2.輸入以下元件並完成接線及接腳命名,以製作二進制二位元加法器

  20. 編譯: 1.完成後,進行編譯動作

  21. 編譯: 2.等待完成 1.按start 3.完成後,按確定

  22. 接腳定義: 2.出現接腳定義視窗 1.選pins 4.完成後關閉視窗 3.完成所有接腳定義(參照硬體電路)

  23. 重新編譯: 1.將完成接腳定義後的專案再編譯一次 2.產生altera的燒錄檔(main.pof)

  24. 轉檔: 將altera的燒錄檔轉成atmel的燒錄檔 1.開啟轉檔程式 2.選取altera的燒錄檔(main.pof) 3.晶片型號應與硬體相同 4.轉成main.jed後的儲存位置 5.按RUN

  25. 轉檔: 將altera的燒錄檔轉成atmel的燒錄檔 1.轉換成功訊息 2.產生atmel的燒錄檔(main.jed)

  26. 燒錄: 1.接上硬體電源及傳輸線(LPT1) 2.開啟燒錄程式 5.確認硬體 6.確認模式 3.開新檔案 7.選取main.jed 4.按OK 8.按OK

  27. 燒錄: 1.選取LPT1 2.選取ByteBlasterMV 3.按RUN 4.等待完成

  28. 燒錄: 1.燒錄成功訊息

  29. 硬體測試: 1.指撥開關為低態動作壓下為0,拉上為1 2.LED為高態動作熄為0,亮為1

  30. 課程結束 THE END

More Related