1 / 32

MorphCore: An Energy-Efficient Architecture for High-Performance ILP and High-Throughput TLP

MorphCore: An Energy-Efficient Architecture for High-Performance ILP and High-Throughput TLP. Khubaib * M. Aater Suleman *+ Milad Hashemi * Chris Wilkerson ‡ Yale N. Patt *. * HPS Research Group The University of Texas at Austin.

clare
Télécharger la présentation

MorphCore: An Energy-Efficient Architecture for High-Performance ILP and High-Throughput TLP

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. MorphCore: An Energy-Efficient Architecture for High-Performance ILP and High-Throughput TLP Khubaib* M. AaterSuleman*+MiladHashemi* Chris Wilkerson‡Yale N. Patt* *HPS Research Group The University of Texas at Austin +Calxeda Inc. ‡Intel Labs

  2. The Need for an Adaptive Core • Sometimes a single thread with high ILP • Need a heavy-weight out-of-order core • Provides high performance by exploiting ILP • Sometimes many threads • Out-of-order is unnecessary • Need a power-efficient core • Provides high performance by exploiting thread-level parallelism • We need an adaptive core that can do both • Exploits instruction-level parallelism when needed • Exploits thread-level parallelism when needed

  3. Problem • Large cores • Good: High single-thread performance • Bad: Inefficient when TLP is available • Small cores • Good: High multithreaded performance • Bad: Poor single thread performance Current core architectures do not adapt Large cores limit performance when TLP is high Small cores limit performance when TLP is low

  4. Outline • Problem Statement • Previous Work • Asymmetric chip multiprocessors • Reconfigurable core architectures • MorphCore • Evaluation

  5. Asymmetric Chip Multiprocessors • One or few large out-of-order cores with many small in-order cores[Morad+ CAL’06, Suleman+ TR’07, Hill+ Computer’07, Suleman+ ASPLOS’09] • Limited flexibility • Fixed number of large and small cores • Migration overhead • Migrate the thread state/data to large core

  6. Reconfigurable Core Architectures • Fundamental Idea • Build a chip with “simpler cores” and “combine” them at runtime using additional logic to form a high-performance out-of-order core • Core Fusion - Ipek+ ISCA’07, TFlex- Kim+ MICRO’07, Federation Cores - Tarjan+ DAC’08, and many others • Fused core has low performance and low energy-efficiency • Increased latencies among its pipeline stages • Significant mode switching overhead

  7. Outline • Problem Statement • Previous Work • MorphCore • Key Insights and Basic Idea • Design and Operation • Evaluation

  8. Key Insight 1: The Potential of In-Order SMT Black-Scholes Program • With 8 threads, the in-order core’s performance almost matches the out-of-order core’s

  9. Key Insight 2 Minimal changes to a traditional OOO core can transform it into a highly-threaded in-order SMT core Existing structures in an OOO core can be re-used to support highly-threaded in-order SMT execution

  10. MorphCore: Basic Idea The opposite of previous proposals: A) The base design: OOO core B) Then we add in-order SMT Two modes: out-of-order core Exploits ILP High single-thread performance OutOfOrder highly-threaded in-order SMT core Exploits TLP High multi-thread performance No OOO execution  Energy savings InOrder

  11. Outline • Problem Statement • Previous Work • MorphCore • Key Insights and Basic Idea • Design and Operation • Evaluation

  12. Baseline OOO Pipeline Branch Pred + I-cache Physical Reg File (PRF) ROB Commit Store Buffer RS Alloc ROB STQ D-cache ALUs LDQ LDQ/ STQ Lookup OOO Select + Wakeup RS Free List 2-way SMT Permanent RATs Speculative RATs FETCH + DECODE RENAME + Insert in RS SELECT + WAKEUP REG READ EXE COMMIT

  13. MorphCore Pipeline

  14. MorphCore Pipeline Shared Branch Pred + I-cache Physical Reg File (PRF) ROB Commit Store Buffer RS Alloc ROB STQ D-cache ALUs LDQ STQ Lookup LDQ Lookup LDQ Alloc OOO Only LDQ/ STQ Lookup OOO Select + Wakeup RS Free List 2-way SMT Permanent RATs Speculative RATs 8-way SMT RS FIFO Insert Concatenate TID with Arch RegID Delayed write back into PRF In-Order Select + Wakeup In-order Only FETCH + DECODE RENAME + Insert in RS SELECT + WAKEUP REG READ EXE COMMIT

  15. Microarchitecture Summary • Use existing structures without modification • Physical Register File (PRF), Decode, Execution pipeline • Use existing structures with minor modification • OOO Reservation Stations  InOrder instruction queues • Because of InOrder execution, delayed writeback into PRF (extra bypass) • SMT related changes • Front-end (e.g. multiple PCs, branch history regs), changes in resource allocation algorithms • In-Order instruction scheduler

  16. Overheads • Core area increases by 1.5% • Increase in SMT contexts (0.5%)(Note that added contexts are in-order, so no additional rename tables and physical registers) • InOrder Wakeup and Select Logic (0.5%) • Extra bypass (0.5%) • Core frequency decreases by 2.5% • Add multiplexers in the critical path of 2 stages • Rename and Scheduling

  17. Mode Switching Policy • Number of active threads≤ 2 ? • OutofOrder when active threads ≤ 2 • MorphCore can support up to 2 OOO threads • TLP is limited so execute OOO to obtain performance • InOrder when active threads > 2 • More than 2 threads can only run simultaneously in InOrder mode • TLP is high so high core throughput and energy savings can be obtained by executing threads in-order

  18. How Mode Switching Happens? (1) Drains the core pipeline (2) Spills architectural registers of currently active threads to reserved ways in the private 256KB L2 (3) Turns off/on Renaming, OOO Scheduling, Load Queue (4) Fillsthe architectural registers of next-active threads into PRF (update RATs when going into OutofOrder) Currently an overhead of 300 - 450 cycles

  19. Outline • Problem Statement • Previous Work • MorphCore • Evaluation

  20. Methodology • Detailed cycle-level x86 simulator • McPAT (modified) to calculate energy/area • Performance/energy evaluation of MorphCore vs. alternative architectures • Large OOO cores: optimized for single-thread • Medium and Small cores: optimized for multi-thread • Workloads • Single-threaded (ST): 14 – SPEC 2006 • Multi-threaded (MT): 14 – Databases, SPLASH, others

  21. Evaluated Architectures All comparisons on approximately equal area ST : single-thread MT: multi-thread OOO : out-of-order InO : in-order

  22. Evaluated Architectures All comparisons on approximately equal area ST : single-thread MT: multi-thread OOO : out-of-order InO : in-order

  23. Evaluated Architectures All comparisons on approximately equal area ST : single-thread MT: multi-thread OOO : out-of-order InO : in-order

  24. Evaluated Architectures All comparisons on approximately equal area ST : single-thread MT: multi-thread OOO : out-of-order InO : in-order

  25. Evaluated Architectures All comparisons on approximately equal area ST : single-thread MT: multi-thread OOO : out-of-order InO : in-order

  26. Performance: Single-thread MorphCore: -1.2% MED: -25% SMALL: -59%

  27. Performance: Multi-thread MorphCore: +22% MED: +30% SMALL: +33%

  28. Performance: Both ST and MT MorphCore over OOO-2: +10% over OOO-4: +4% over MED: +11% over SMALL: +49%

  29. Energy For MT workloads, MorphCore is the second-best in energy-efficiency Consumes 9% less energy than OOO-2

  30. Energy-delay-squared (ED2) 3.5 On average, across all workloads, MorphCore provides the lowest ED2 22% lower than OOO-2 and 44% lower than SMALL

  31. Summary • MorphCore adapts well to both single-thread and multi-thread workloads • Requires minimal changes to a traditional OOO core • Operates in two modes: • OOO core when TLP is low • Highly-threaded in-order SMT core when TLP is high • Significantly outperforms other alternative architectures

  32. MorphCore: An Energy-Efficient Architecture for High-Performance ILP and High-Throughput TLP Khubaib* M. AaterSuleman*+MiladHashemi* Chris Wilkerson‡Yale N. Patt* *HPS Research Group The University of Texas at Austin +Calxeda Inc. ‡Intel Labs

More Related