1 / 21

Exercícios Referentes à Prova P2

Exercícios Referentes à Prova P2. Exercícios. Assinale com V se a sentença estiver correta, caso contrário preencha com F ( ) Circuitos seqüenciais são aqueles cujas portas de saída são funções instantâneas das portas de entrada e do seu estado atual

matana
Télécharger la présentation

Exercícios Referentes à Prova P2

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Exercícios Referentes àProva P2

  2. Exercícios • Assinale com V se a sentença estiver correta, caso contrário preencha com F () Circuitos seqüenciais são aqueles cujas portas de saída são funções instantâneas das portas de entrada e do seu estado atual ( ) O que difere um sistema seqüencial de um sistema combinacional, é que o sistema combinacional tem elementos de memorização que permitem armazenar seu estado atual () Flip-flop é um circuito com capacidade de armazenar um byte de informação. Este pode ser implementado com portas lógicas e laços de realimentação () Normalmente, uma aplicação quando implementada de forma seqüencial consome menos área, mas é mais lenta que uma implementação combinacional equivalente ( ) Relógio é um sinal periódico com freqüência constante, normalmente utilizado para sincronizar operações de um sistema seqüencial ( ) Na lista de sensitividade de um processo que descreve um subsistema seqüencial devem estar todos os sinais utilizados no processo que podem ter o seu valor alterado • Para que serve a lista de sensitividade dos processos?

  3. Exercícios • Faça um programa VHDL de uma máquina reconhecedora de padrões • Esta máquina tem como entradas os sinais: • reset (std_logic), que quando tiver o valor ‘1’ faz com que os registradores e/ou contadores da máquina sejam zerados; • clock (std_logic), que informa os momentos de carga dos registradores e/ou contadores. Considere que, tanto os registradores quanto contadores são assíncronos e sensíveis à borda de subida do clock; • entr (std_logic_vector(7 downto 0)), vetor de 8 bits que contém uma seqüência randômica de padrões que devem ser reconhecidos pela máquina. • Para reconhecimento dos padrões a máquina dispõe das seguintes saídas: • numClocks (std_logic_vector(15 downto 0)), vetor que contém o número de vezes que a entrada entr teve o valor x”FF” desde que o sinal de reset foi ativado até o momento atual; • numRep (std_logic_vector(15 downto 0)), vetor que contém o número de vezes que o vetor entr teve padrões iguais consecutivos desde que o sinal de reset foi ativado; • Ov, flip-flop que, com valor 1, indica se algum dos contadores (numClocks ou numRep) atingiu o máximo da contagem de 16 bits. Uma vez Ov obtendo valor igual a 1, este se mantém enquanto não ocorrer um novo sinal de reset. • Faça o VHDL tanto da entidade, como da arquitetura. OBS.: Não é necessário fazer o testbench!

  4. Exercícios • Faça um contador sensível à borda de subida e com um reset assíncrono. O contador deve ter também um valor para inicialização. A contagem deve ser em passos de 5 e o contador deve ter a capacidade de contar até 315. Depois do contador atingir este valor, ele deve ser zerado. Mostre a entidade e como ficaria o diagrama de blocos implementado na arquitetura • Faça um testbench para o item acima. Considere 2 momentos de reset e um clock de 333.33 MHz • Faça uma subrotina (com labelMult) para multiplicar dois valores que estão nas posições de memória apontadas pelos labelsa e b. O resultado desta multiplicação deve ir para a posição de memória apontada pelo labelc. Note que o valor da multiplicação pode ultrapassar a capacidade de armazenamento de c. Ignore este problema! • Faça um programa que leia um vetor de 5 valores apontado pelo labelvet e, utilizando a rotina Multimplementada acima, gere um novo vetor, apontado pelo labelvetMul. Este último vetor deve ser igual ao vetor vet com todos os seus valores multiplicados pela constante que está no endereço cte

  5. Exercícios • Dado o programa ao lado, descrito em linguagem de montagem do processador Cleópatra • Faça uma descrição em linguagem mais abstrata deste programa • Mostre como fica o endereçamento de código e dados • Coloque ao lado das instruções o número de ciclos de relógio gasto • Gere o código objeto do mesmo • Qual o tamanho em bytes do programa? • Suponha que o labelb tenha associado ao invés da constante 07h, a variável n (considere que a área de dados dá suporte a qualquer valor de n). Então, expresse o número de ciclos para executar este programa em termos de n, usando a forma: n_ciclos = a1*n + a2, onde a1 e a2 são constantes que devem ser calculadas a partir do programa e da definição de quantos ciclos de relógio cada instrução leva para ser executada. Ex. n_ciclos = 80*n + 45 • Considerando que o tempo de execução desejado para o programa deva ser o mais próximo possível de 1 milissegundo, e que se fixa o valor de n = 8, calcule a freqüência de relógio do processador

  6. Exercícios • Preencher com o endereçamento (b), código objeto (d) e número de ciclos (c)

  7. Exercícios • Complete a tabela abaixo com as microinstruções referente às instruções das linhas 5 e 6 do programa exemplo anterior (instruções STA e LDA)

  8. Exercícios •  (POSCOMP 2009 - 38) Após a execução do pedaço de programa a seguir, escrito na linguagem de montagem do 8086, que valores estarão em AL e BL? ; IF 25 = 10 then VAR = 30 MOV AL, 25 MOVBL, 10 CMP AL, BL JNZ rot1 MOV AL, 30 MOV VAR, AL rot1: ... VAR DB 0 • AL = 15, BL = 10 • AL = 25, BL = 10 • AL = 15, BL = 30 • AL = 25, BL = 30 • AL = 30, BL = 10

  9. Exercícios •  (POSCOMP 2010 - 34) Considere o conjunto de operações descritas a seguir, em linguagem de transferência entre registradores. F1: REM CP; F2: RDM M[REM], CPCP + 1; F3: RI RDM; Dados: REM é o registrador de endereços da memória; RDM é o registrador de dados da memória; RI é o registrador de instruções; CP é o contador de programa e M[X] é o conteúdo de memória indicado pelo endereço X. Assinale a alternativa que indica a que fase do processamento de uma instrução em uma máquina pipeline corresponde o conjunto de operações descrito. a) Decodificação de instrução. b) Busca de operando. c) Execução de instrução. d) Busca de instrução. e) Armazenamento de resultado.

  10. Exercícios •  (POSCOMP 2010 - 40) Considere o diagrama a seguir. Seja a máquina de estados finitos representada pelo diagrama acima, determine o modelo (Mealy ou Moore) e o circuito digital ao qual ela corresponde. a) Modelo de Mealy correspondente a um contador módulo 5 b) Modelo de Moore correspondente a um contador módulo 5 c) Modelo de Mealy correspondente a um identificador da seqüência 100 d) Modelo de Moore correspondente a um identificador da seqüência 100 e) Modelo de Mealy correspondente a um flip-flop JK

  11. RESPOSTAS

  12. Resposta de Exercícios • Faça um programa VHDL de uma máquina reconhecedora de padrões ... entity Cont is port ( reset, clock: in std_logic; entr: in std_logic_vector(7 downto 0); numClocks: out std_logic_vector(15 downto 0); numRep: out std_logic_vector(15 downto 0); Ov: out std_logic); end Cont; architecture Cont of Cont is signal numClocksInt: std_logic_vector(15 downto 0); signal numRepInt: std_logic_vector(15 downto 0); signal entrOld: std_logic_vector(7 downto 0); signal OvInt: std_logic; begin Ov <= OvInt; numClocks <= numClocksInt; numRep <= numRepInt; process(reset, clock) begin if reset = '1' then numClocksInt <= (others=>'0'); numRepInt <= (others=>'0'); OvInt <= '0'; elsifclock'event and clock ='1' then if entr = x"FF" then numClocksInt <= numClocksInt + 1; end if; if entr = entrOld then numRepInt <= numRepInt + 1; end if; entrOld <= entr; if numClocksInt = x"FFFF" or numRepInt = x"FFFF" then OvInt <= '1'; end if; end if; endprocess; endCont;

  13. Resposta de Exercícios • Faça uma sub-rotina (com labelMult) para multiplicar dois valores que estão nas posições de memória apontadas pelos labels a e b. ...

  14. Resposta de Exercícios Faça um programa que leia um vetor de 5 valores apontado pelo labelvet e, utilizando a rotina Mult implementada acima ...

  15. Resposta de Exercícios • Linguagem mais abstrata (a)

  16. Resposta de Exercícios • Preencher com o endereçamento (b), número de ciclos (c), código objeto (d)

  17. Resposta de Exercícios • Tamanho do código (e) 8. Equação (f) 8. Tempo (g)

  18. Resposta de Exercícios • Complete a tabela abaixo com as microinstruções referente às instruções das linhas 5 e 6 do programa exemplo anterior (instruções STA e LDA)

  19. Resposta de Exercícios •  (POSCOMP 2009 - 38) Após a execução do pedaço de programa a seguir, escrito na linguagem de montagem do 8086, que valores estarão em AL e BL? ; IF 25 = 10 then VAR = 30 MOV AL, 25 MOVBL, 10 CMP AL, BL JNZ rot1 MOV AL, 30 MOV VAR, AL rot1: ... VAR DB 0 • AL = 15, BL = 10 • AL = 25, BL = 10 • AL = 15, BL = 30 • AL = 25, BL = 30 • AL = 30, BL = 10

  20. Resposta de Exercícios •  (POSCOMP 2010 - 34) Considere o conjunto de operações descritas a seguir, em linguagem de transferência entre registradores. F1: REM CP; F2: RDM M[REM], CPCP + 1; F3: RI RDM; Dados: REM é o registrador de endereços da memória; RDM é o registrador de dados da memória; RI é o registrador de instruções; CP é o contador de programa e M[X] é o conteúdo de memória indicado pelo endereço X. Assinale a alternativa que indica a que fase do processamento de uma instrução em uma máquina pipeline corresponde o conjunto de operações descrito. a) Decodificação de instrução b) Busca de operando c) Execução de instrução d) Busca de instrução e) Armazenamento de resultado

  21. Resposta de Exercícios •  (POSCOMP 2010 - 40) Considere o diagrama a seguir. Seja a máquina de estados finitos representada pelo diagrama acima, determine o modelo (Mealy ou Moore) e o circuito digital ao qual ela corresponde. a) Modelo de Mealy correspondente a um contador módulo 5 b) Modelo de Moore correspondente a um contador módulo 5 c) Modelo de Mealy correspondente a um identificador da seqüência 100 d) Modelo de Moore correspondente a um identificador da seqüência 100 e) Modelo de Mealy correspondente a um flip-flop JK

More Related