1 / 41

Topic Review: FPGA vs. ASIC

Topic Review: FPGA vs. ASIC. Steve Poret RCS – ENG 6530 June 10, 2008. References. [1] Measuring the Gap between FPGAs and ASICs Ian Kuon and Jonathan Rose The Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto, 2006

atalo
Télécharger la présentation

Topic Review: FPGA vs. ASIC

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Topic Review:FPGA vs. ASIC Steve Poret RCS – ENG 6530 June 10, 2008

  2. References [1] Measuring the Gap between FPGAs and ASICs • Ian Kuon and Jonathan Rose • The Edward S. Rogers Sr. Department of Electrical and Computer Engineering • University of Toronto, 2006 [2] (When) Will FPGAs Kill ASICs? • Rajeev, Jayaraman • Xilinx Inc. • DAC-2001 [3] ASICs Verses FPGAs • Frank J. Bartos • Control Engineering • 6/1/2005 [4] Structured ASICs • Dan Lander, Haru Yamamoto, Shane Erickson • UCLA - EE 201A • Spring 2004

  3. References [5] Signal, Timing Integrity Assured with FPGAs - Technology Information • Rich Sevcik • Electronic News •  July 24, 2000   [6] Navigating the Silicon Jungle: FPGA or ASIC? • Blyler, John • Chip Design Magazine • June/July 2005 [7] FPGA vs. ASIC • Xilinx Inc. • Getting Started with Xilinx • 2006 [8] Xilinx: Virtex-5 Family Overview • Xilinx Inc. datasheet • DS100(v4.2) May 7, 2008

  4. Overview • Introduction • Performance Perspective • Measuring the Gap between FPGAs and ASICs, [1] • Cost/Risk/Lifecycle Perspective • (When) Will FPGAs Kill ASICs?, [2] • ASICs verses FPGAs, [3] • Summary • Future Technology • Structured/Platform ASICs, [4] • Conclusion

  5. Introduction ASIC (Application Specific Integrated Circuit) • An IC designed for a particular use • Standard cell, full-custom ASIC Applications • Processors • RAM • ROM

  6. Introduction Why are we interested? • The size of transistors are shrinking to sub-micron levels Deep Sub-Micron (DSM) designs have problems • Two main fundamental issues: • Signal Integrity • Timing Closure • Is an ASIC still the best architecture?

  7. Introduction FPGA (Field Programmable Gate Array) • Logic blocks and programmable interconnects • Synthesis tools are designed to counter DSM issues Applications • ASIC Prototyping • Massive parallelism (code breaking, cryptography) • DSP, SDR, medical imaging • FFT

  8. Introduction • Implementation medium: FPGA or ASIC? • Based on: • Area • Performance • Power consumption • Cost • Time-to-market • Design Cycle • Complexity of design • How do we measure the gap between FPGAs and ASICs?

  9. Measuring the Gap between FPGAs and ASICs • New FPGA vs. ASIC comparison, (Kuon, Rose) • System Architecture: • Altera Stratix II (FPGA) • STM CMOS090 Design Platform standard cell (ASIC) • Look at previous comparisons: inadequate • Authors took many considerations to ensure most accurate possible comparison

  10. Measuring the Gap between FPGAs and ASICs • Chose 23 benchmark designs • Implement all benchmarks in both FPGA and ASIC • Compare: • Silicon Area • Maximum Operating Frequency • Power Consumption

  11. Measuring the Gap between FPGAs and ASICs Silicon Area • Hard DSP blocks significantly reduce the area gap (40 -> 28) • Memories slightly reduced (40 -> 37) • All components utilized (40 -> 21) • Introduction of heterogeneous blocks are very important in decreasing FPGA area

  12. Measuring the Gap between FPGAs and ASICs Speed • Hard DSP blocks increase delay? • The multipliers are fixed size, thus will slightly decrease performance, but the additional time comes with extra routing to accommodate for fixed positions of DSPs. • Memories • 3.2 -> 2.3, offer speed-up vs. ASIC design, but slow low power memory is used in the ASIC, and is no real advantage vs. newer memory • Overall, the memory blocks offer the same advantage as the DSP blocks: primary benefit is improved area efficiency

  13. Measuring the Gap between FPGAs and ASICs Speed • Fastest speed is useful for understanding the best case solution, but not fair to ASIC. • ASICs are generally designed for worst-case process • As seen the performance gaps are respectively larger, confirming that ASICs perform faster then FPGAs. • 2.8 times faster

  14. Measuring the Gap between FPGAs and ASICs Power Consumption • FPGAs consume 9-to-12 times the amount of power as an ASIC • Area savings suggest a slight power reduction as less wires & components are used • Introducing DSP and/or memory blocks to the FPGA reduce power consumption

  15. Measuring the Gap between FPGAs and ASICs • Presented empirical measurements quantifying the gap between FPGAs and ASICs • FPGA design is 21-40 times larger than an standard-cell ASIC design • FPGA is 2.1-4.5 times slower than a standard-cell ASIC design • FPGA consumes 9-12 times more power than ASIC

  16. Segue Clearly ASICs have better performance than FPGAs, though they lack the flexibility So why are FPGAs even used?

  17. (When) Will FPGAs Kill ASICs? Non-performance factors: • Unit costs • Non Recurring Engineering (NRE) costs • Time to market • System reconfigurability • Design cycle • Volume/Gate Count/Freq/IP requirements

  18. (When) Will FPGAs Kill ASICs? • Unit cost analysis • ASIC: lower unit costs for high volumes • ASIC: design tools tend to cost more • FPGA: No upfront NRE - costs typically associated with an ASIC design

  19. (When) Will FPGAs Kill ASICs? • Exploding (NRE) ASIC Cost High mask costs as process geometry decreases

  20. (When) Will FPGAs Kill ASICs? • Time to market

  21. (When) Will FPGAs Kill ASICs? • System reconfigurability Lack of reconfigurability is a large opportunity cost of ASICs as FPGAs offer flexible design cycle management

  22. (When) Will FPGAs Kill ASICs? • Design Cycle ASIC: very unforgiving (no late changes) FPGA: flexible to allow late design changes

  23. (When) Will FPGAs Kill ASICs? • Volume Requirements (Unit cost) ASICs are cost effective for large volumes (> 250,000)

  24. (When) Will FPGAs Kill ASICs? • Gate Count Requirements FPGAs have limited gate count: 3 million (2000)

  25. (When) Will FPGAs Kill ASICs? • Performance Requirements (Speed) FPGAs can operate up to 200Mhz (2000) Note: 550Mhz Xilinx Virtex-5 (2008)

  26. (When) Will FPGAs Kill ASICs? • IP in FPGAs • 1995 – Only gates and routing • 2000 – Multiple I/O standards, clock management, RAM, multipliers, processors • 2008 – Ethernet, GTP/GTX transceivers, microprocessors, 65-nm technology, DCM, PLLs, 12 routing layers, triple-oxide for reduced power consumption • 20xx – Power down individual sections of FPGAs?

  27. ASICs verses FPGAs • Traditionally, ASICs are used for large projects and FPGAs for smaller projects that need to get to market faster, or can benefit from remote upgrades • Improved FPGA performance, density, and fabrication cost are pushing the ASICs out of the market; as the key remains FPGAs quick time-to-market value • 2 years for ASIC, verses 9 months for FPGA

  28. ASICs verses FPGAs (FGPA view) • Earlier FPGAs were only viable for prototyping or low-density applications; now they see very high-volume usage in consumer products and other moderate volume high-density applications • Highest-density FPGAs (90 nm) still have a definitive higher unit price than ASICs • However, cost trade-offs often favour FPGAs even with these highest density applications, when development and NRE charges are factored in David Greenfield, senior director of high-density FPGAs at Altera Corp

  29. ASICs verses FPGAs (ASIC view) • Upfront development investment is higher with a cell-based ASIC approach • At high volumes, ROI is significantly better due to smaller die size and lower per unit costs. • FPGAs tend to be a better choice where unit price is less important, or time-to-market, or low initial development cost drives the solution • FPGAs and structured ASICs are suitable for low volume, short lifetime applications where customers can compromise on functionality and performance while still achieving their system objectives John DiFilippo, silicon architect for TI's ASIC Communications Infrastructure Business Unit

  30. Summary • FPGAs are closing in on ASICs for performance values, even though ASICs are smaller, faster and more efficient at the moment • FPGAs provide cost, time, reconfigurability and flexibility over ASIC designs which make them attractive • Gap is narrowing between the technologies

  31. Future of FPGAs, ASICs ? Structured ASICs • Newer term in the industry

  32. Structured ASICs • The logic mask layers of a device are predefined by the ASIC vendor • Design differentiation and customization is achieved by creating custom metal layers that create custom connections between predefined lower layer logic elements • Because only a small number of chip layers must be custom-produced, structured ASIC designs have much smaller NRE costs than other ASIC chips, which require that a full mask set be produced for every design

  33. Routing Layer Routing Layer Pre-Routed Layer Pre-Routed Layer Pre-Routed Layer Structured ASICs • Both manufacturing cycle time and design cycle time are reduced compared to standard cell-based ASIC by: • Pre-defined metal layers • Pre-characterization of what is on the silicon • Pre-defined power, clock, test structures

  34. Structured ASICs • FPGA vendors have also designed their own version of the structured ASICs: • Altera(HardCopy) • Same design cells as FPGA, but programmable routing replaced with fixed wire interconnects • Xilinx(EasyPath) • “customer specific FPGA”; 30-70% cheaper than standard FPGA, same standard FPGA cells • Both designs have the programming capabilities removed

  35. Structured ASICs Advantages • Mainly used for mid-density designs • High performance (close to standard-cell) • Low power consumption • Less complex (fewer layers to fabricate) • Small time-to-market (pre-defined cell blocks)

  36. Structured ASICs Disadvantages • Design tools • Expensive • Immature architecture • Therefore have not been formally evaluated and compared; • Tradeoffs within the architecture (LUTs, RAM size)

  37. Architecture Summary *used worst-case values (from Kuon, Rose) Structured ASICs have many of the advantages of both FPGAs and standard ASICs

  38. Conclusion • FPGAs, Structured ASICs and ASICs each have their own advantages and disadvantages • ASICs • High costs, high performance • Low flexibility • Difficult and long design cycle • FPGAs • Low cost, low performance • Reconfigurable • Quick and easy for designers

  39. Questions • Do you have any questions? • Project …..

  40. Project Outline: • Start with 1-bit ALU design using VHDL (Xilinx) • Modify an ALU from a 4-bit to 16-bits • Add other capabilities to the ALU (Multiplication, Division) • Duplicate the ALU to max capacity of the FPGA • The ALUs are connected using different connections: • Bus • Point-to-point matrix connection • Comparisons between the two implementations • Solve Benchmarks TERM PROJECT

  41. Project Outline: • Start with 1-bit ALU design • Re-learn VHDL code • Modify an ALU from a 4-bit to 16-bits • Add other capabilities to the ALU (Multiplication, Division) • Duplicate the ALU to max capacity of the FPGA • The ALUs are connected using different connections: • Bus • Point-to-point matrix connection • Comparisons between the two implementations • Solve Benchmarks TERM PROJECT

More Related