1 / 18

Introduction to VHDL Coding

Introduction to VHDL Coding. Wenchao Cao, Teaching Assistant Department of EECS University of Tennessee. Outline. Example of VHDL Coding in Xilinx ISE Design Suite Basic Knowledge of VHDL. Outline. Example of VHDL Coding in Xilinx ISE Design Suite Basic Knowledge of VHDL.

naava
Télécharger la présentation

Introduction to VHDL Coding

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Introduction to VHDL Coding Wenchao Cao, Teaching Assistant Department of EECS University of Tennessee

  2. Outline Example of VHDL Coding in Xilinx ISE Design Suite Basic Knowledge of VHDL

  3. Outline Example of VHDL Coding in Xilinx ISE Design Suite Basic Knowledge of VHDL

  4. Example of VHDL Coding in Xilinx ISE Design Suite Work Flow The figure shows the software tools in a logic design project from schematic to VHDL code to *.bit file for download to BASYS or BASYS2 board.

  5. Implementation of a Full Adder

  6. Create A New Project

  7. Project Settings Choose TQ144 if you are using a BASYS board

  8. Create New Sauce Files

  9. Generated VHDL file template by the software: • Entity declaration • (Entity name) • Port definition • (Port name and direction) • Architecture body • (Write the functions here!)

  10. Outline Example of VHDL Coding in Xilinx ISE Design Suite Basic Knowledge of VHDL

  11. Basic Modeling Constructs • Entity declarations • Entity identifier: Lab00 • Ports definition • Port names: A, B, Cin, S, Cout • Port directions • “in” for input ports, “out” for output ports. • Architecture body • Architecture identifier: Behavioral • Behavioral descriptions • Signal assignment statement • S <= A xor B xorCin; • Logical operators • and, or, not, xor, nand, nor, xnor • (No difference between upper case and lower case.) • Use ( ) to make the statement clearly expressed.

  12. Signal Assignment Statement • Example • Logical operators in lower case • Logical operators in upper case • Less parentheses ( )

  13. Assign Logic ‘1’ and Logic ‘0’ • Example • Assign value of ‘1’ • Assign value of ‘0’

  14. Introduction to VHDL Coding QUESTIONS ?

More Related