1 / 21

2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing

2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing. The Factory. Si Substrate Mfg. Chip Mfg. Wafer Mfg. Product Mfg. Distribution. Reticle Mfg. FEOL BEOL. Probe/Test Singulation. Packaging Test. Increasing cost & Cycle time implications.

Télécharger la présentation

2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 2002 Factory Integration Scope IncludesWafer, Chip and Product Manufacturing The Factory Si Substrate Mfg Chip Mfg Wafer Mfg Product Mfg Distribution Reticle Mfg • FEOL • BEOL • Probe/Test • Singulation • Packaging • Test Increasing cost & Cycle time implications • Factory is driven by Cost, Productivity, and Speed: • Reduce factory capital and operating costs per function • Enable efficient high-volume production with operational models for high and low product mixes and other business strategies • Increase factory and equipment reuse, reliability, and overall efficiency • Enable rapid process technology shrinks and wafer size changes • Faster delivery of new and volume products to the end customer

  2. DB DB Station Controllers DSS MES Network or Bus Document Management MCS APC Scheduling + Dispatching UI Process Equipment AMHS Eqpt (side view) Factory Integration Requirements and Solutions are Expressed through 6 Functional Areas Production Equipment • Process and Metrology equipment • Mainframe and process chambers • Wafer Handling Robots, Load Ports • Internal software & computers Facilities • Cleanroom, Labs, Central Utility Building • Facilities Control and Monitoring Systems • Power, Plumbing, HVAC, Utilities, Pipes, UPS • Life safety systems, waste treatment Factory Operations • Policies and procedures used to plan, monitor and control production • Direct factory labor Test Manufacturing • Prober, Handler, and Test Equipment • Manufacturing processes to test wafers and chips Material Handling Systems • Wafer and Reticle Carriers • Automated storage systems • Interbay & intrabay transport systems • Personnel guided vehicles • Internal Software & computers Factory Information & Control • Data and Control systems required to run the factory • Decision support • Process control • Plan, Schedule, Dispatch • Computers, databases, software outside equipment

  3. 2002 Factory Integration Focus Areas • New business requirements driving changes to the factory design • Combination of many different industry business models: IDM, Foundry, Joint Ventures, Collaborations, other Outsourcing, etc • Faster new product delivery to customers [design to receipt] • Integrating the Factory with other parts of the engineering chain (design, reticle mfg…) • Implications of 300mm factory sizes reaching 30k-40k wspm on facilities, AMHS, and factory control systems • Gaps Factory productivity/Equipment OEE and methods to improve including Equipment Engineering Capabilities (EEC) • EEC includes e-diagnostic, fault detection, process control, on-line manuals, spares management etc. • Factory modeling needs and gaps to do design analysis, demand planning, optimization tradeoff analysis, etc. • Preparing for more focus in 2003 on Assembly and Test Manufacturing driven by costs & complexities

  4. Managing Complexity Quickly and effectively integrating rapid changes in semiconductor technologies and market conditions Need to integrate the entire product development process Factory Optimization Productivity increases are not keeping pace with needs Flexibility, Extendibility, Scalability Ability to quickly convert to new semiconductor technologies while reusing equipment, facilities, and skills Post Conventional CMOS Manufacturing Uncertainty Inability to predict factory requirements associated with different manufacturing requirements 450mm Wafer Size Conversion Timing and manufacturing paradigm for this wafer size conversion 2002 Difficult Challenges >65nm through 2007 < 65nm after 2007

  5. Factory Operations Technical Requirements • 2003 will propose adding new product cycle time to the metrics (analysis on-going) • - Progress lacking in ability to run multiple lots per carrier

  6. Production Equipment Technical Requirements (1 of 2) • No significant changes to values • - Progress lacking in OEE improvements, NPW reduction

  7. Production Equipment Technical Requirements (2 of 2) • No significant changes to values • - Progress lacking in OEE improvements, NPW reduction

  8. Material Handling Technical Requirements (1 of 2) • No significant changes to values • AMHS system throughput numbers include both 20k and 40k wspm factories • + Good progress on AMHS single transport hardware system development

  9. Material Handling Technical Requirements (2 of 2) • No significant changes to values • AMHS system throughput numbers include both 20k and 40k wspm factories • + Good progress on AMHS single transport hardware system development

  10. Factory Info & Control Technical Requirements ? Need to assess software systems (scheduling, dispatching, etc) readiness for single transport system - Lead time to create and conform to standards needs additional progress

  11. Facilities Technical Requirements • No significant changes to values • - Facilities momentum needed to reduce cycle time

  12. Key Gaps: 2003 Focus areas for Factory Integration • Technology Gaps that Need Attention Today • Integrated intrabay readiness for 300mm Factories • Ability to run different process parameters for each wafer • Production equipment OEE • NPW Reduction • Hot Lot and normal cycle times for high mix factories • Faster Product delivery • Efficient Product development • Better modeling capabilities • Future Technology Gaps and Focus Areas • Factory software systems to support Direct Transport AMHS • Equipment Engineering Capabilities and Standards • Engineering Chain Management Systems • Impact of 157nm and Next Generation Litho on the Factory • Post Conventional CMOS Manufacturing • 450mm Wafer Processing

  13. Integrated Solutions are Essential to Meet Needs Integrated Solutions • Agile Manufacturing • - Equipment Engineering Capabilities • - Single wafer control • Engineering Chain Mgmt • Process Control • - FDC, R2R, W2W control • IM and M2M matching • Material Handling - Direct Transport for Send Ahead, monitors, hot lots • Integrated Sorters, Stockers, Metrology? • Flexible Factory Designs • Quick ramp-up operation • Extend & Scale quickly • Convert quickly Technology Requirements • New disruptive process technologies • Next Generation Litho • 157nm litho • High K gate stack • Low k dielectrics • Copper processing + • Improved Productivity • Decreased Factory Cycle Time (QTAT) • Improved Equipment Efficiency • Reduction in non-product (I.e. test) wafer usage • More efficient direct labor • Faster factory conversion at technology nodes Integrated Factory Goal = Meet Factory Challenges and Technology Requirements

  14. Industry Business Model Is Changing Foundry/Fabless Age IDM Age Collaboration Age Transactions and Interlinkage will be flexible and open. Marketing IP Design Marketing Design Design EP/BP Fab Fab Marketing Design Foundry IP゙ Foundry Marketing IT is a must and Speed is most important

  15. Mass Production Supply Chain (O2D) Factory Order WO Shipping MES Sales SCP Promise WIP Commerce Data Eqpt. Supplier e-Diag Eqpt. Devmn’t Maintenance Support Product Development Eqpt. Configuration APC Engineering Chain (T2M) EE Data Recipe Design Mask Devmn’t Process Devmn’t YMS EES Engineering Data Engineering Chain Management • Customers want new products delivered faster [design  ship] • The Engineering Chain integrates the development flow from design specification to customer delivery for a new product through engineering data exchange • Engineering Chain = Design  Reticle  Process Integration  Customer  High Volume • This is different from supply chain mgmt which focuses on efficient volume production • Engineering chain management ensures customer cycle times are met, while new products are properly integrated with the process

  16. Translating Factory Operations, Production Equipment, and Facilities Metrics to Reality

  17. Translating Material Handling, FICS, and Test Manufacturing Metrics to Reality

  18. UI Process Equipment AMHS Eqpt (side view) Continued Standardization is needed to Reduce Integration Time, Cost, and Complexity Production Equipment • AMHS interfaces • Automation data interfaces • Facilities hook-up • ESD Factory Information & Control • E-Factory standards (EEC, APC, etc.) • Equipment Data Interfaces • Company Data Interfaces • Security Test Equipment • Automation data interfaces • AMHS interfaces • Facilities hook-up • ESD Partner Security Firewall Customer / Supplier Material Handling Systems • Production Equipment Interfaces • Automation data interfaces • Facilities hook-up • Carriers Facilities • Height, weight, temperature • Equipment Hook-up • Safety Not an exhaustive list

  19. Potential Solutions driving R&D Agenda • Engineering chain management models, data integration and interface standards • Factory capacity planning and supply chain management systems integrated with actual factory data • Internet based Manufacturing and Engineering systems • Advanced Factory/Mfg Modeling Tools and Capabilities • Equipment Engineering Capabilities (EEC) • e-diagnostic, fault detection, advanced process control, on-line manuals, spares management, etc. • Scheduling, Dispatching, and MES integration for Direct Transport AMHS • Additional Industry Standards for Equipment, AMHS, Facilities, and Information/Control Systems

  20. Key Messages • Improving the Factory’s Cost, Productivity and Speed is essential • Business strategies, market demands, and process technology changes continue to make factories difficult to integrate • More focus must be spent on new product development and high mix factory cycle times • Gaps in Production Equipment OEE, Factory NPW usage, and Factory modeling must be improved. • e-Factory concepts are being developed to solve complexity, integration and equipment OEE issues • Standards have been very effective in 300mm, but must be implemented more consistently in some areas • More focus must be given to Post-Fab manufacturing (Assembly, Test, etc.) to improve productivity

  21. 1-2.5 nC 100-250V 1-2.5 nC 100-250V 1-2.5 nC 100-250V 1-2.5 nC 100-250V 1-2.5 nC 100-250V 1-2.5 nC 100-250V 1.0 nC 100V 1.0 nC 100V 1.0 nC 100V 1.0 nC 100V 0.5 nC 50V 0.5 nC 50V 0.5 nC 50V 0.5 nC 50V 0.25 nC 25V 0.25 nC 25V 0.25 nC 25V 0.25 nC 25V 0.1 nC 10V 0.1 nC 10V ---- 200 ---- 75 ---- 100 ---- 150 ---- 50 Maximum allowable electrostatic charge on devices Was 200 150 150 100 100 75 75 50 50 25 Maximum allowable electrostatic charge on devices Is No Significant 2002 Changes to ESD Requirements Production Equipment Technology Requirements 150 150 100 100 75 75 50 50 25 25 Facilities Technology Requirements Test Manufacturing Technology Requirements Facilities Standards The SEMI ESD Task force is currently working on a new document to define facility electrostatic levels. First ballot expected March 2003. Change color to blue – under development Facility electrostatic levels stds • No data available to support changing the values in the tables • SEMI ESD Task Force working on a document for electrostatic compatibility in the factory – most likely data source for changes

More Related