1 / 50

4.1 概述 MAX+PLUS——Multiple Array Matrix and

4.1 概述 MAX+PLUS——Multiple Array Matrix and Programmable Logic User System MAX+PLUS Ⅱ 的特点: 1. 与结构无关 2. 多平台 3. 完全集成化. 第四章 MAX+PLUS Ⅱ 开发工具. 4. 丰富的设计库 5. 模块化工具

Télécharger la présentation

4.1 概述 MAX+PLUS——Multiple Array Matrix and

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 4.1 概述 MAX+PLUS——Multiple Array Matrix and Programmable Logic User System MAX+PLUS Ⅱ的特点: 1. 与结构无关 2. 多平台 3. 完全集成化 第四章 MAX+PLUS Ⅱ开发工具

  2. 4. 丰富的设计库 5. 模块化工具 6. 硬件描述语言(HDL) 7. Megacore功能:IP核(Intelligence Property Core)8. Opencore特性9. 丰富的在线帮助系统 10. 开放的界面

  3. 4.2 MAX +PLUS Ⅱ的设计过程简介 一、设计流程 FPGA/CPLD设计流程图 设计 思想 设计 输入 设计 实现 设计 仿真 器件 编程 系统 测试

  4. 二、设计环境 图3.2 MAX+PLUS II的设计环境

  5. 1、设计输入 (1)、输入方式: 图形输入、 文本输入、 波形输入、 网表输入、 符号编辑、 底层编辑

  6. (2)、层次设计 即在一个设计方案中支持多级层次,并且各级层次的设计可包含几种不同格式建立的设计文件,使设计输入具有极大的灵活性。 a 、有助于系统构思 b、利于模块化结构 c、易于设计调试 d、可混合描述 e、易于递增式设计 f、有助于并行式设计

  7. 2、设计实现 在FPGA/CPLD器件内物理地 实现所需逻辑。 步骤如下: (1)选择目标器件、设定编译环境 (2)生成二进制网表文件(. cnf) (3)建立数据库 (4)逻辑综合、优化 (5)器件划分(Partitioner)和试配( Fitter) (6)产生仿真文件、器件编程文件

  8. 3、设计验证 (1)设计仿真 a. 功能仿真 b. 定时仿真 (2)定时分析 a、延时矩阵 b、建立时间与保持时间 c、最高时钟频率

  9. 4、器件仿真(编程) 即通过编程器(Programmer) 将电路设计下载到实际芯片中。 编程方法: (1)用计算机及Altera专用编程电缆进行配置 (2)用Altera 专用串联EPROM进行配置 (3)用通用EPROM进行配置

  10. 三、如何获得在线帮助 MAX+PLUS II 帮助菜单

  11. 另两种方式获取帮助: 1、按 <F1>键可以即时访问对 话框内的信息、高亮度的菜单命 令或弹出式信息。 2、同时按下<Shift>+<F1>键,或选用工具栏中的问号按钮,用鼠标指向屏幕上的任何项目并按下鼠标键,则可获得该项目的帮助信息。

  12. 4.3 逻辑设计的输入方法 输入方法不同,生成的文件格式不同。 各种设计输入文件

  13. “Project”(项目)的概念: 一个项目(Project)是一个设计的总和。它包含所有的子设计文件和设计过程中产生的所有辅助文件。层次设计的项目如下: 顶层文件:.gdf、.tdf、 .vhd、.sch、.edf 辅 助 文 件 子设计 文件 子设计 文件 子设计 文件 子设计 文件 子设计 文件 子设计 文件

  14. 项目名与最顶层文件名相同。 编译器(Compile)编译的对象是整个项目,或者说是针对某个项目名的, 而不是针对某个设计输入文件的。

  15. 一、图形设计输入方法 1、建立工作目录:在 \maxplus2系统目录之外。 2、运行 MAX+PLUS II

  16. 3、建立图形设计文件 (1)建立新文件 选菜单: MAX+PLUS II\ Graphic Editor 或: File\New... MAX+PLUS II 的NEW 对话框

  17. MAX+PLUS II 的图形编辑器窗口 选择工具 写文本 图形编辑器 画折线 窗口标题栏 画直线 画圆弧 画圆 放大显示 缩小显示 缩到最小(放满整个窗口) 加(删)节点 打开Rubberbanding功能(器件与相连的线一起移动) 关闭Rubberbanding功能(器件与相连的线不一起移动)

  18. (2)保存文件 选菜单 File\Save As…,在弹 出窗口中,选定存放目录和文件名。 (3)确定项目名 选菜单 File\Project\Set Project to Current File,即设置项目名与当前编辑的图形文件名相同。 Changes the project name to the name of the current file

  19. 或:选菜单 File\Project\Name…,指定项目名。 或:可先确定项目名,再确定图形设计文件名,但两者必须同名。

  20. (4)输入电路原理图 a 、输入逻辑功能符号 符号输入窗口 选菜单: Symbol\ Enter Symbol… 四种逻辑 功能库

  21. Prim库: (primitives) Prim库中含: 各种基本门电路 各种触发器 各种缓冲器 输入/输出脚 电源、地 Altera 的Prim 库符号

  22. mf库: (macrofunction) mf 库中含: 以74 系列为主 Altera 的mf 库符号

  23. Mega_lpm库: Mega_lpm为: Library of Parameterized Modules 参数化的 模块库 Altera 的Mega_lpm 库符号

  24. 电路图符号的 调用、编辑 在prim库中: 分别选中 Symbol Files 中: input output 输入引脚符号

  25. 在 mf 库,再选中74138符号: 输入74系列符号

  26. b、复制、移动功能符号 同时按Ctrl 键、鼠标键, 拖动鼠标 符号的复制

  27. c、管脚的命名 管脚的命名 修改后的输入管脚名 双击后的显示 系统默认的管脚名

  28. d、连线 三到八译码器

  29. 四位计数器 输入符号 总线 74163 符号 输出符号 输入引脚名 总线名称 节点名称 连接点 输出引脚名

  30. e、保存文件、并检查错误 选莱单 File\Save,保存文件; 选莱单 File\Project\Save & Check,保存文件并且检查电路中的逻辑错误。 如有逻辑错误→弹出信息处理窗口→错误自动定位(Locate) →返回编辑窗口改正错误。 例如:将前面的3-8译码器电路作两处修改:一处是将一个输出脚断开,另一处是将输出引脚名y7改为y6。如下图所示:

  31. 有编辑错误的3-8译码器:

  32. 选File\Project\Save & Check: 利用错误自动定位功能和帮助信息确定并改正错误

  33. 改正错误后,检查结果如下:

  34. f、创建默认的逻辑符号 检查无错误后,选莱单 File\Create Default Symbol,创建逻辑符号文件(.sym)。该符号类同宏功能函数符号,可被高层设计调用。 g、关闭图形编辑窗口

  35. 例:用基本门电路实现3-8译码器

  36. 门电路实现3-8译码器的编译结果:

  37. 编译成功后产生的默认逻辑符号: 此逻辑符号的功能可代替集成电路74138。

  38. 二、文本设计输入方法 主要输入以VHDL、Verilog HDL、AHDL书写 的文件。 VHDL—特别适合大型或复杂的系统设计。 Verilog HDL—适合复杂的系统设计。 AHDL—Altera Hardware Description Language, 特别适合描述复杂的组合逻辑、组运 算、状态机和真值表。 七段显示译码电路的VHDL设计:

  39. 1、建立新文件 选MAX+PLUS II\ Text Editor 选Text Editor file 保存文件名为: segment7.vhd (默认后缀:tdf) 确定项目名与 当前文件同名 MAX+PLUS II 的New对话框

  40. 2、输入文本文件 7段显示器的译码电路 a f b g e c d

  41. 3、保存、检查项目文件 选 File\Project\Save & Check, 可保存该设计文件,并对VHDL语言文本进行语法规则检查。 如有错误 → 弹出信息处理窗口 → 错误自动定位(Locate) → 返回编辑窗口改正错误。 4、创建默认符号 检查无错误后,选 File\Create Default Symbol,产生该译码电路的模块符号。该符号可在其它图形文件或高层文件中被调用。 符号如下:

  42. 5、关闭文本设计输入窗口

  43. 三、波形设计输入方法 1、建立新文件 选MAX+PLUS II\ Waveform Editor 选中: Waveform Editor file 及 .wdf 波形输入文件对话框

  44. 波形输入编辑窗口 管脚(组)名称、类型及赋值区域 给选定管脚赋 0 值 给选定管脚赋 1 值 给选定管脚赋不定值 给选定管脚赋高阻 Z 值 将选定管脚值反向 给选定管脚赋时钟值 给选定管脚赋计数值(如从0至15) 给选定管脚组赋确定值 给状态机状态命名

  45. 2、编辑波形输入文件 (1)建立输 入、输出管脚 选菜单: Node\ Insert Node 创建管脚窗口

  46. (2)编辑输入输出波形 编辑波形设计文件

  47. (3)保存项目文件并创建默认符号

  48. 四、创建顶层设计文件 数字钟的顶层设计文件

  49. 五、层次显示 选菜单:MAX+PLUS Ⅱ\Hierarchy Display 数字钟的层次显示

More Related