1 / 29

EEM 486 : Computer Architecture Designing a Single Cycle Datapath

EEM 486 : Computer Architecture Designing a Single Cycle Datapath. Processor. Input. Control. Memory. Datapath. Output. The Big Picture: Where are We Now?. The Five Classic Components of a Computer Today’s Topic: Design a Single Cycle Processor. CPI. Inst. Count. Cycle Time.

lynn
Télécharger la présentation

EEM 486 : Computer Architecture Designing a Single Cycle Datapath

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. EEM 486: Computer Architecture Designing a Single Cycle Datapath

  2. Processor Input Control Memory Datapath Output The Big Picture: Where are We Now? • The Five Classic Components of a Computer • Today’s Topic: Design a Single Cycle Processor

  3. CPI Inst. Count Cycle Time The Big Picture: The Performance Perspective • Performance of a machine is determined by: • Instruction count • Clock cycle time • Clock cycles per instruction • Processor design (datapath and control) will determine: • Clock cycle time • Clock cycles per instruction • Today: • Single cycle processor: • Advantage: One clock cycle per instruction • Disadvantage: long cycle time

  4. How to Design a Processor: Step-by-Step • Analyze instruction set => datapathrequirements • Meaning of each instruction is given by the register transfers • Datapath must include storage element for ISA registers • possibly more • Datapath must support each register transfer • Select set of datapath components and establish clockingmethodology • Assembledatapath meeting the requirements • Analyze implementation of each instruction to determine setting of control points that effects the register transfer • Assemble the control logic

  5. 31 26 21 16 11 6 0 op rs rt rd shamt funct 6 bits 5 bits 5 bits 5 bits 5 bits 6 bits 31 26 21 16 0 immediate op rs rt 6 bits 5 bits 5 bits 16 bits 31 26 0 op target address 6 bits 26 bits The MIPS Instruction Formats • The three instruction formats: • R-type • I-type • J-type • The different fields are: • op: operation of the instruction • rs, rt, rd: the source and destination register specifiers • shamt: shift amount • funct: selects the variant of the operation in the “op” field • address / immediate: address offset or immediate value • target address: target address of the jump instruction

  6. 31 26 21 16 11 6 0 op rs rt rd shamt funct 6 bits 5 bits 5 bits 5 bits 5 bits 6 bits 31 26 21 16 0 op rs rt immediate 6 bits 5 bits 5 bits 16 bits 31 26 21 16 0 op rs rt immediate 6 bits 5 bits 5 bits 16 bits 31 26 21 16 0 op rs rt immediate 6 bits 5 bits 5 bits 16 bits Step 1a: The MIPS-lite Subset for Today • ADD/SUB • addU rd, rs, rt • subU rd, rs, rt • OR Immediate: • orirt, rs, imm16 • LOAD/STORE Word • lwrt, rs, imm16 • swrt, rs, imm16 • BRANCH • beqrs, rt, imm16

  7. Logical Register Transfers • RTL gives the meaning of the instructions • All start by fetching the instruction • op | rs | rt | rd | shamt | funct = MEM[ PC ] • op | rs | rt | Imm16 = MEM[ PC ] • inst Register Transfers • ADDU R[rd] <– R[rs] + R[rt]; PC <– PC + 4 • SUBU R[rd] <– R[rs] – R[rt]; PC <– PC + 4 • ORi R[rt] <– R[rs] | zero_ext(Imm16); PC <– PC + 4 • LOAD R[rt] <– MEM[ R[rs] + sign_ext(Imm16)]; PC <– PC + 4 • STORE MEM[ R[rs] + sign_ext(Imm16) ] <– R[rt]; PC <– PC + 4 • BEQif ( R[rs] == R[rt] ) then PC <– PC + 4 + • [sign_ext(Imm16) || 00] • else PC <– PC + 4

  8. Step 1: Requirements of the Instruction Set • Memory • instruction & data • Registers (32 x 32) • read RS • read RT • Write RT or RD • PC • Extender • Add and Sub registers or registerandextended immediate • LogicalOr of a registerandextended immediate • Add 4 or extended immediate to PC

  9. Step 2: Components of the Datapath • Combinational Elements • Storage Elements • Clocking methodology

  10. Combinational Logic Elements (Basic Building Blocks) CarryIn A 32 Sum • Adder • MUX • ALU Adder 32 B Carry 32 Select A 32 Y MUX 32 B 32 OP A 32 Result ALU 32 B 32

  11. Write Enable Data In Data Out N N Clk Storage Element: Register (Basic Building Block) • Register • Similar to the D Flip Flop except • N-bit input and output • Write Enable input • Write Enable: • Negated (0): Data Out will not change • Asserted (1): Data Out becomes Data In

  12. RW RA RB Write Enable 5 5 5 busA busW 32 32 32-bit Registers 32 busB Clk 32 Storage Element: Register File • Register File consists of 32 registers: • Two 32-bit output busses: busA and busB • One 32-bit input bus: busW • Register is selected by: • RA (number) selects the register to put on busA (data) • RB (number) selects the register to put on busB (data) • RW (number) selects the register to be writtenvia busW (data) when Write Enable is 1 • Clock input (CLK) • The CLK input is a factor ONLY during write operation • During read operation, behaves as a combinational logic block: • RA or RB valid => busA or busB valid after “access time.”

  13. Write Enable Address Data In DataOut 32 32 Clk Storage Element: Idealized Memory • Memory (idealized) • One input bus: Data In • One output bus: Data Out • Memory word is selected by: • Address selects the word to put on Data Out • Write Enable = 1: address selects the memoryword to be written via the Data In bus • Clock input (CLK) • The CLK input is a factor ONLY during write operation • During read operation, behaves as a combinational logic block: • Address valid => Data Out valid after “access time.”

  14. Clk Setup Hold Setup Hold Don’t Care . . . . . . . . . . . . Clocking Methodology (Just in case) • All storage elements are clocked by the same clock edge • Cycle Time = CLK-to-Q + Longest Delay Path + Setup + Clock Skew • (CLK-to-Q + Shortest Delay Path - Clock Skew) > Hold Time

  15. Step 3: Assemble Datapath Meeting Requirements • Register Transfer Requirements DatapathAssembly • Instruction Fetch • Read Operands and Execute Operation

  16. Clk PC Next Address Logic Address Instruction Word Instruction Memory 32 3a: Overview of the Instruction Fetch Unit • The common RTL operations • Fetch the Instruction: mem[PC] • Update the program counter: • Sequential Code: PC PC + 4 • Branch and Jump: PC “something else”

  17. 31 26 21 16 11 6 0 op rs rt rd shamt funct 6 bits 5 bits 5 bits 5 bits 5 bits 6 bits Rd Rs Rt ALUctr RegWr 5 5 5 busA Rw Ra Rb busW 32 Result 32 32-bit Registers ALU 32 32 busB Clk 32 3b: Add & Subtract • R[rd]  R[rs] op R[rt] Example: addUrd, rs, rt • Ra, Rb, and Rw come from instruction’s rs, rt, and rd fields • ALUctr and RegWr: control logic after decoding the instruction

  18. Clk Clk-to-Q Old Value PC New Value Instruction Memory Access Time Rs, Rt, Rd, Op, Func Old Value New Value Delay through Control Logic ALUctr Old Value New Value RegWr Old Value New Value Register File Access Time busA, B Old Value New Value ALU Delay busW Old Value New Value Rd Rs Rt Register Write Occurs Here ALUctr RegWr 5 5 5 busA Rw Ra Rb busW 32 Result 32 32-bit Registers ALU 32 32 busB Clk 32 Register-Register Timing: One complete cycle

  19. 31 26 21 16 0 op rs rt immediate 6 bits 5 bits 5 bits 16 bits Rd Rt RegDst Mux Rt? Rs ALUctr RegWr 5 5 5 busA Rw Ra Rb busW 32 Result 32 32-bit Registers ALU 32 32 busB Clk 32 Mux ZeroExt imm16 32 16 ALUSrc 3c: Logical Operations with Immediate • R[rt]  R[rs] op ZeroExt[imm16]

  20. 31 26 21 16 0 op rs rt immediate 6 bits 5 bits 5 bits 16 bits Rd Rt RegDst Mux Rt? Rs ALUctr RegWr 5 5 5 busA W_Src Rw Ra Rb busW 32 32 32-bit Registers ALU 32 32 busB Clk MemWr 32 Mux Mux WrEn Adr Data In 32 ?? Data Memory 32 Extender imm16 32 16 Clk ALUSrc ExtOp 3d: Load Operations • R[rt] Mem[R[rs] + SignExt[imm16]] E.g.: lwrt, rs, imm16

  21. 31 26 21 16 0 op rs rt immediate 6 bits 5 bits 5 bits 16 bits Rd Rt ALUctr MemWr W_Src RegDst Mux Rs Rt RegWr 5 5 5 busA Rw Ra Rb busW 32 32 32-bit Registers ALU 32 32 busB Clk 32 Mux Mux WrEn Adr Data In 32 32 Data Memory Extender imm16 32 16 Clk ALUSrc ExtOp 3e: Store Operations • Mem[ R[rs] + SignExt[imm16] ]  R[rt] E.g.: swrt, rs, imm16

  22. 31 26 21 16 0 op rs rt immediate 6 bits 5 bits 5 bits 16 bits 3f: The Branch Instruction • beqrs, rt, imm16 • mem[PC] Fetch the instruction from memory • Equal  R[rs] == R[rt] Calculate the branch condition • if (Equal) Calculate the next instruction’s address • PC  PC + 4 + ( SignExt(imm16) x 4 ) • else • PC  PC + 4

  23. 31 26 21 16 0 op rs rt immediate 6 bits 5 bits 5 bits 16 bits Inst Address Cond nPC_sel Rs Rt 4 RegWr 5 5 5 busA 32 Adder Rw Ra Rb busW 32 32 32-bit Registers Equal? Mux PC busB Clk 32 00 Adder imm16 PC Ext Clk Datapath for Branch Operations • beqrs, rt, imm16 Datapath generates condition (equal)

  24. Inst Memory Instruction<31:0> <11:15> <0:15> <21:25> <16:20> Adr Rs Rt Rd Imm16 RegDst nPC_sel ALUctr MemWr MemtoReg Equal Rt Rd 0 1 Rs Rt 4 RegWr 5 5 5 Adder busA Rw Ra Rb = busW 00 32 32 32-bit Registers Mux ALU 0 32 busB 32 0 PC 32 Mux Mux Adder Clk 32 WrEn Adr 1 1 Data In Data Memory Extender imm16 PC Ext 32 Clk 16 Clk ExtOp ALUSrc Putting it All Together: A Single Cycle Datapath imm16

  25. A Single Cycle Datapath

  26. Ideal Instruction Memory Instruction Rd Rs Rt Imm 5 5 5 16 Instruction Address A Data Address 32 Rw Ra Rb 32 Ideal Data Memory 32 32 32-bit Registers ALU PC Next Address Data In B Clk Clk 32 Clk An Abstract View of the Critical Path • Register file and ideal memory: • The CLK input is a factor ONLY during write operation • During read operation, behave as combinational logic: • Address valid => Output valid after “access time.” Critical Path (Load Operation) = PC’s Clk-to-Q + Instruction Memory’s Access Time + Register File’s Access Time + ALU to Perform a 32-bit Add + Data Memory Access Time + Setup Time for Register File Write + Clock Skew

  27. Control Ideal Instruction Memory Control Signals Conditions Instruction Rd Rs Rt 5 5 5 Instruction Address A Data Address Data Out 32 Rw Ra Rb 32 Ideal Data Memory 32 32 32-bit Registers ALU PC Next Address Data In B Clk Clk 32 Clk Datapath An Abstract View of the Implementation

  28. Steps 4 & 5: Implement the control Next time

  29. Summary • 5 steps to design a processor • 1. Analyze instruction set => datapathrequirements • 2. Select set of datapath components & establish clock methodology • 3. Assembledatapath meeting the requirements • 4. Analyze implementation of each instruction to determine setting of control points that effects the register transfer. • 5. Assemble the control logic • MIPS makes it easier • Instructions same size • Source registers always in same place • Immediates same size, location • Operations always on registers/immediates • Single cycle datapath => CPI=1, CCT => long • Next time: implementing control

More Related